14lpe | KitGuru https://www.kitguru.net KitGuru.net - Tech News | Hardware News | Hardware Reviews | IOS | Mobile | Gaming | Graphics Cards Tue, 29 Sep 2015 02:51:19 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.3 https://www.kitguru.net/wp-content/uploads/2021/06/cropped-KITGURU-Light-Background-SQUARE2-32x32.png 14lpe | KitGuru https://www.kitguru.net 32 32 Apple double-sources its A9 chips from Samsung and TSMC https://www.kitguru.net/components/anton-shilov/apple-double-sources-its-a9-chips-from-samsung-and-tsmc/ https://www.kitguru.net/components/anton-shilov/apple-double-sources-its-a9-chips-from-samsung-and-tsmc/#respond Tue, 29 Sep 2015 00:57:22 +0000 http://www.kitguru.net/?p=269781 In a bid to ensure steady supply of its latest system-on-chips for its new iPhone smartphones, Apple decided to source the SoCs from two contract makers of semiconductors: Samsung Foundry and Taiwan Semiconductor Manufacturing Co. Apple’s new iPhone 6S and iPhone 6S Plus smartphones use A9 processors made by Samsung using 14nm FinFET fabrication process …

The post Apple double-sources its A9 chips from Samsung and TSMC first appeared on KitGuru.]]>
In a bid to ensure steady supply of its latest system-on-chips for its new iPhone smartphones, Apple decided to source the SoCs from two contract makers of semiconductors: Samsung Foundry and Taiwan Semiconductor Manufacturing Co.

Apple’s new iPhone 6S and iPhone 6S Plus smartphones use A9 processors made by Samsung using 14nm FinFET fabrication process (APL0898) as well as TSMC using its 16nm FinFET manufacturing technology (APL1022), according to Chipworks. The Samsung-made system-on-chip is slightly smaller it has die size of around 96mm2. By contrast, the A9 application processor made by TSMC has die size of around 94.5mm2.

apple_iphone_6s

Apple’s new smartphones are expected to be the most popular iPhones ever. The company may sell around 90 million new handsets by the end of the year, which means that it needs 90 million SoCs. Volume requirement is the primary reason why Apple decided to ink supply agreements with two foundries. Sourcing chips from different manufacturers means that Apple will have no problems with supply and will also be able to negotiate the lowest prices.

apple_a9_chips

It is extremely hard to build identical chips using different process technology. It remains to be seen which SoC consumes less power and emits less heat.

Apple did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: It will be very interesting to find out whether Apple also uses GlobalFoundries to produce chips using Samsung’s 14nm LPE [low-power early] fabrication process…

The post Apple double-sources its A9 chips from Samsung and TSMC first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/apple-double-sources-its-a9-chips-from-samsung-and-tsmc/feed/ 0
GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/ https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/#comments Sat, 26 Sep 2015 01:54:06 +0000 http://www.kitguru.net/?p=269516 GlobalFoundries on Friday confirmed that the first products to be made using the company’s advanced 14nm LPP [low-power plus] manufacturing technology had been taped out. The contract maker of chips did not reveal any details, but indicated that prototype chips had demonstrated “excellent” performance and yields. One of the customers, who will use the 14LPP …

The post GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology first appeared on KitGuru.]]>
GlobalFoundries on Friday confirmed that the first products to be made using the company’s advanced 14nm LPP [low-power plus] manufacturing technology had been taped out. The contract maker of chips did not reveal any details, but indicated that prototype chips had demonstrated “excellent” performance and yields. One of the customers, who will use the 14LPP is Advanced Micro Devices.

The 14LPP fabrication process developed by Samsung Foundry and licensed by GlobalFoundries shares a lot of elements with the 14nm LPE [low-power early] manufacturing technology, which has been used to produce various integrated circuits (ICs) for many months now. The 14LPP is expected to enable chip designers to create more complex system-on-chips that run at up to 10 per cent higher clock-rate and feature lower power consumption. The two process technologies share common design rules, but use different standard-cell libraries, compilers, etc.

“The performance-enhanced version of the technology (14LPP) is set for qualification in the second half of 2015, with the volume ramp beginning in early 2016,” said Jason Gorss, senior manager of corporate and technology communications at GlobalFoundries.

panasonic_chip_asic_ic_semiconductor_1

According to Mr. Gorss, the company started to tape-out products, which will be manufactured using the 14LPP a while ago. Tape-out is the final stage of the design cycle of an integrated circuit, the point at which the artwork of the IC is sent to a maker of photomasks. Once the set of photolithographic masks is completed and verified, it is directed to the contract manufacturer of the chip, which produces the first samples of the IC. If GlobalFoundries started a tape-out process, it means that samples of certain products are either in production or have been produced.

“Prototyping on test vehicles has demonstrated excellent logic and SRAM yields and performance at near 100% of target,” explained the official for GlobalFoundries.

GlobalFoundries remains on-track to begin high-volume manufacturing of commercial chips using 14nm LPP technology in 2016. The company is expected to produce a number of high-performance designs using the process. Just like in case of the 14LPE, customers of GlobalFoundries and Samsung Foundry will be able to use fabs of both producers in order to increase manufacturing volume of their chips.

samsung_semiconductor_foundry_chip_production_4

Earlier this year Advanced Micro Devices confirmed that it had taped-out its first two products to be made using FinFET manufacturing technologies. According to unofficial information, AMD will use GlobalFoundries’ 14LPP fabrication process to produce its code-named “Summit Ridge” central processing unit with up to eight “Zen” cores, which will be marketed under “FX” and “Opteron” brands late next year. AMD is expected to use Taiwan Semiconductor Manufacturing Co.’s 16nm FinFET+ (CLN16FF+) for production of its the code-named “Greenland” graphics processing unit based on the next iteration of the GCN [graphics core next] architecture.

Discuss on our Facebook page, HERE.

KitGuru Says: Thanks to the fact that there are a lot of similarities between the 14LPE and 14LPP, the latter will hardly face problems with yields. The main question is whether the two technologies will be competitive against TSMC’s 16nm FinFET compact (CLN16FFC), which has a lot of differences compared to the 16nm FinFET and the 16nm FinFET+, in the long run.

The post GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/feed/ 8
GlobalFoundries: 14nm yields are exceeding our plans https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/ https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/#comments Fri, 25 Sep 2015 21:33:53 +0000 http://www.kitguru.net/?p=269473 GlobalFoundries, which started to manufacture chips using 14nm low-power early (14LPE) manufacturing technology several months ago, said on Friday that its yields exceed its original expectations. One of the world’s largest contract makers of semiconductors does not reveal its actual 14nm volumes, but since the chips are made for customers, they should meet demands of …

The post GlobalFoundries: 14nm yields are exceeding our plans first appeared on KitGuru.]]>
GlobalFoundries, which started to manufacture chips using 14nm low-power early (14LPE) manufacturing technology several months ago, said on Friday that its yields exceed its original expectations. One of the world’s largest contract makers of semiconductors does not reveal its actual 14nm volumes, but since the chips are made for customers, they should meet demands of the latter.

FOLLOW UP: GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology.

GlbalFoundries: 14nm yields are great

“Our 14nm FinFET ramp is exceeding plan with best-in-class yield and defect density,” said Jason Gorss, senior manager of corporate and technology communications at GlobalFoundries. “The early-access version of the technology (14LPE) was qualified in January and is well on its way to volume production, meeting yield targets on lead customer products.”

globalfoundries_semiconductor_fab1_cleanroom_space_1

Earlier this year GlobalFoundries indicated that its 14LPE yields were on par with its partner Samsung Foundry (the original developer of the 14LPE), which uses the technology to produce its Exynos 7420 system-on-chip at its fab in Austin, Texas. The SoC is used inside Samsung’s Galaxy S6, S6 Edge and S6 Edge+ smartphones. So far, Samsung has shipped tens of millions of smartphones based on the Exynos 7420 application processor, which indirectly indicates rather good yields of the 14nm process technology.

Another major client for Samsung's 14nm FinFET LPE is Apple, whose A9 system-on-chip for the iPhone 6s and the iPhone 6s Plus will be made using the fabrication process. Analysts expect Apple to sell 80 – 90 million new iPhones by the end of the year.

Working to make 14nm yields better

GlobalFoundries and Samsung are working together in a bid to improve yields and further decrease defect density of the 14nm LPE fabrication process. While the two companies do some changes in the process flow, they tend to stay close to the original and each other in order to offer their clients complete design compatibility and performance consistency.

globalfoundries_semiconductor_wafers_300mm

GlobalFoundries  claims that there is a special process in place, which resembles Intel Corp.’s “Copy Exactly” methodology. The “Copy Exactly” requires matching equipment configuration, chemical purity, process recipes and a lot of other things.

“A key part of the value proposition of our partnership with Samsung is the ability to offer true design compatibility at multiple fabs,” said Mr. Gorss. “We do this through a fab synchronization process that is very similar to the ‘Copy Exactly’ methodology. Of course, we can and do make changes to our process flow as necessary, but staying close to ‘copy exact' allows us to drive the fastest possible ramp of the technology.”

Volumes unknown

GlobalFoundries does not reveal how many wafers it processes using the 14nm fabrication technology now, but earlier this year the company indicated that a significant portion of equipment needed for commercial production of chips using 14nm FinFET process had been installed.

It is unclear what equipment GlobalFoundries uses to make 14nm chips at its Fab 8, but originally the semiconductor fabrication plant was allegedly equipped with ASML’s Twinscan NXT:1950i lithography machines. Earlier this year the company acquired new tools specifically to produce chips using newer process technologies (the list probably included lithography tools, metrology tools and a number of other things). GlobalFoundries does not reveal details about its equipment, but the best scanners it could buy in late 2014 or early 2015 were ASML’s Twinscan 1970Ci step-and-scan systems, which can process up to 250 300mm wafers per hour (up from 175wph on the NXT:1950i) and have higher precision than predecessors.

globalfoundries_cleanroom_fab8

All ASML Twinscan NXT machines use immersion lithography with ultraviolet light at 193nm wavelength. Since modern process technologies are designed for 193nm immersion lithography, semiconductor manufacturers can use all ASML Twinscan NXT machines with some tweaks (to the scanners and other equipment in the fab) to manufacture chips using the latest process technologies. Moreover, all Twinscan NXT scanners are field-upgradeable to the same performance level (but not to the same level of overlay performance) as the latest NXT:1970Ci system.

While the yields of 14LPE seem to be fine, it is unknown how many wafers using the new process technology it can process per month. Theoretically, GlobalFoundries can expand production relatively quickly by upgrading its existing equipment. However, since demands of GF’s clients are unknown, it is uncertain whether it actually needs any upgrades right now. In fact, GlobalFoundries’ main customer – Advanced Micro Devices – reportedly plans to use only more advanced 14LPP [low-power plus] process technology to make its chips sometimes next year.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like everything is good with 14nm LPE yields at GlobalFoundries and Samsung Foundry. While the Fab 8 upgrade process is not yet finished, it makes a great sense for the chipmaker to wait for ASML’s Twinscan NXT:1980Di to arrive because it can process even more wafers (275wph), thus improving productivity and profitability.

The post GlobalFoundries: 14nm yields are exceeding our plans first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/feed/ 8
GlobalFoundries develops 7nm and 10nm technologies in-house https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/ https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/#comments Sat, 19 Sep 2015 22:34:15 +0000 http://www.kitguru.net/?p=268505 GlobalFoundries is working on its own 7nm and 10nm fabrication processes and it does not look like the company has plans to license technologies from the outside. The contract maker of semiconductors hopes that specialists from IBM’s microelectronics unit will help it to design world-class leading-edge manufacturing processes. GlobalFoundries, which was once manufacturing arm of …

The post GlobalFoundries develops 7nm and 10nm technologies in-house first appeared on KitGuru.]]>
GlobalFoundries is working on its own 7nm and 10nm fabrication processes and it does not look like the company has plans to license technologies from the outside. The contract maker of semiconductors hopes that specialists from IBM’s microelectronics unit will help it to design world-class leading-edge manufacturing processes.

GlobalFoundries, which was once manufacturing arm of Advanced Micro Devices, used to have problems with delivering advanced manufacturing technologies on time. Over the years, GlobalFoundries’ management did a lot to add competitive advantages to the company: it acquired Chartered Semiconductor, brought-in a lot of talent from the outside and participated in various industry-wide initiatives. While it did help to make GlobalFoundries the world’s No. 2 or No. 3 contract maker of chips, the company struggled to remain competitive with its leading-edge technologies, a hard thing to do nowadays.

globalfoundries_semiconductor_wafer_300mm_foundry_1

The company had issues with its 32nm SOI process technology, it was late with 28nm node, it cancelled 28nm FDSOI and it was going to be late-to-market with its 14nm-XM process. In a bid to catch up and potentially gain new customers, GlobalFoundries licensed 14nm LPE [low-power early] and 14nm LPP [low-power plus] technologies from Samsung Electronics. However, going forward GlobalFoundries hopes to develop its own manufacturing processes. The combined team of IBM and GF engineers is expected to deliver robust 10nm and 7nm fabrication technologies.

“We are developing our own technologies for the next nodes,” said Sanjay Jha, chief executive officer of GlobalFoundries, in an interview with EETimes web-site. “The whole point of the IBM Microelectronics business acquisition is to leverage IBM’s technologists and technology to accelerate our own development of leading-edge process technologies.”

Mr. Jha does not reveal any timeframes concerning 10nm fabrication process and any kind of targets that the company wants to hit with the manufacturing technology. Keeping in mind that Samsung Electronics and Taiwan Semiconductor Manufacturing Co. plan to start production of chips using their 10nm processes in late 2016 or early 2017, it is obvious that GlobalFoundries will be behind its rivals. In a bid to start low-volume production using 10nm manufacturing tech in late 2016 or early 2017, GF needed to deliver early process design kits (PDKs) to its customers three to six months ago. The technology is in development and no PDKs are available to key clients like Advanced Micro Devices.

globalfoundries_semiconductor_fab1_cleanroom_space_1

Mr. Jha is confident that extreme ultraviolet (EUV) lithography will not be commercially viable before 2018 or 2019, which is in line with expectations by other industry executives.

“We are not expecting EUV before 2018 or 2019,” said Mr. Jha. “We are focused on optical tools for 10nm and 7nm. As EUV stabilizes, we may use EUV for some layers. We are also using EUV to accelerate prototyping.”

Discuss on our Facebook page, HERE.

KitGuru Says: It is clear that GlobalFoundries will be behind its rivals with 10nm manufacturing technology. While GlobalFoundries will likely try to make its 10nm competitive in order not to let its main customer down, it is obvious that the joint team of IBM and GloFo will focus on 7nm fabrication process. Keeping in mind that IBM experimented with a number of promising technologies and that intellectual property is now available to GlobalFoundries, we can expect very interesting results. It is possible that at 7nm the foundry industry will have three viable competitors (or four, if Intel is considered a foundry). The main question is whether GlobalFoundries will have enough money to develop that state-of-the-art process technology…

The post GlobalFoundries develops 7nm and 10nm technologies in-house first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/feed/ 15
Chinese investors eye GlobalFoundries to get new process technologies https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/ https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/#comments Tue, 01 Sep 2015 18:31:30 +0000 http://www.kitguru.net/?p=265822 China's National Integrated Circuit Industry Investment Fund has reportedly approached GlobalFoundries about possible acquisition or cooperation. Chinese investors intend to take over GlobalFoundries in order to significantly improve Semiconductor Manufacturing International Corporation (SMIC) and make it one of the world’s largest contract makers of semiconductors. China-based Hua Capital Management, which manages the national semiconductor industry …

The post Chinese investors eye GlobalFoundries to get new process technologies first appeared on KitGuru.]]>
China's National Integrated Circuit Industry Investment Fund has reportedly approached GlobalFoundries about possible acquisition or cooperation. Chinese investors intend to take over GlobalFoundries in order to significantly improve Semiconductor Manufacturing International Corporation (SMIC) and make it one of the world’s largest contract makers of semiconductors.

China-based Hua Capital Management, which manages the national semiconductor industry investment fund, has approached Advanced Technology Investment (ATIC), the owner of GlobalFoundries, for possible acquisition or cooperation, reports DigiTimes web-site. Even though GlobalFoundries is growing and is currently one of the world’s leading foundries, analysts say that the company hardly makes a lot of money for Mubadala, the owner of ATIC. As a result, the company is reportedly considering its strategic options.

globalfoundries_semiconductor_wafers_300mm

China's National Integrated Circuit Industry Investment Fund was founded in order to boost semiconductor industry in the country. The potential acquisition of GlobalFoundries will allow the fund to significantly improve SMIC, which is already one of the largest contract makers of semiconductors. In particular, this will allow SMIC to start making chips using 14nm FinFET process technology earlier than expected. At present SMIC intends to initiate high-volume production using 14nm tech in 2020, but a takeover of GlobalFoundries will grant SMIC access to advanced fabrication processes considerably earlier.

GlobalFoundries is using Samsung’s 14nm FinFET manufacturing technologies right now, but is developing its own 10nm fabrication process. The potential merger with SMIC will make the latter one of the most competitive foundries in the world. The new company will be significantly bigger than Samsung Foundry and United Microelectronics Corp. in terms of revenue and production capacities. However, the new company will still be significantly smaller than Taiwan Semiconductor Manufacturing Co.

globalfoundries_semiconductor_wafer_300mm_foundry_1

Since GlobalFoundries owns IBM’s semiconductor business, it is highly likely that before selling the company ATIC will have to negotiate with the U.S. government, which could block the deal in order not to export leading-edge process technologies to China.

GlobalFoundries, SMIC, ATIC, Mubadala, China's National Integrated Circuit Industry Investment Fund and Hua Capital Management did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: When Mubadala established ATIC in 2008, the investment fund planned to develop semiconductor manufacturing industry in Abu Dhabi. GlobalFoundries was supposed to be a primary vehicle for developing the ecosystem in the emirate. However, it does not look like GlobalFoundries has helped ATIC to build the chip industry in Abu Dhabi. Keeping in mind that GlobalFoundries is barely profitable, it is possible that ATIC may be considering to sell it. However, this could be a hard thing to do since GlobalFoundries may be strategically important for the U.S. and Germany, where it owns leading-edge manufacturing facilities.

The post Chinese investors eye GlobalFoundries to get new process technologies first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/feed/ 6
Samsung to offer its Exynos SoCs to other smartphone makers https://www.kitguru.net/components/cpu/anton-shilov/samsung-to-offer-its-exynos-socs-to-other-smartphone-makers/ https://www.kitguru.net/components/cpu/anton-shilov/samsung-to-offer-its-exynos-socs-to-other-smartphone-makers/#comments Sat, 08 Aug 2015 02:04:03 +0000 http://www.kitguru.net/?p=262872 Samsung Electronics may become a new rival for Qualcomm, MediaTek and other suppliers of mobile system-on-chips as the company reportedly plans to sell its Exynos 7420 processors to third parties. For a long time, Samsung Electronics used application processors from Qualcomm inside its premium smartphones, but in the recent years the company started to design …

The post Samsung to offer its Exynos SoCs to other smartphone makers first appeared on KitGuru.]]>
Samsung Electronics may become a new rival for Qualcomm, MediaTek and other suppliers of mobile system-on-chips as the company reportedly plans to sell its Exynos 7420 processors to third parties.

For a long time, Samsung Electronics used application processors from Qualcomm inside its premium smartphones, but in the recent years the company started to design its own high-end system-on-chips for its flagship handsets that offer better performance as well as unique capabilities. At present, Samsung Galaxy S6 and Galaxy S6 Edge smartphones are the only handsets with application processors made using 14nm process technology.

samsung_exynos_7_14nm_chip

As it appears, Samsung wants to use its SoCs not only inside its own smartphones and other consumer electronics products, but also to sell them to third-parties. According to Pan Jiutang, a technology analyst from China, Meizu may be Samsung’s first customer to get the Exynos 7420 for its upcoming handset.

The Meizu MX5 Pro flagship smartphone will feature a double-sided glass display, a metal frame and the high-performance Exynos 7420 system-on-chip, reports SamMobile.

The Samsung Exynos 7420 features eight cores that work in ARM's Big.Little HMP [heterogeneous multi-processing] configuration: four high-performance ARM Cortex- A57 cores and four low-power ARM Cortex-A53 cores for heavy loads and lighter jobs, respectively. The SoC also integrates ARM Mali-T760 graphics engine with 16 shader cores and support for OpenGL ES 1.1, 2.0, 3.0, 3.1; OpenCL 1.1 and DirectX 11 application programming interfaces, quad-channel memory controller as well as various special-purpose hardware, interfaces and so on.

It is not completely clear why Samsung wants to sell its unique application processors to its rivals, but it looks like the company needs to increase its revenues and profitability. The company has to pay for its SoC-related research and development operations, therefore, it is logical to sell app processors to third parties if sales of own-brand handsets miss expectations.

samsung_exynos_7_octa

Keeping in mind that Samsung does not have a broad portfolio of Exynos products made using modern process technologies, it is unlikely that it will become a dangerous rival for Qualcomm or MediaTek. However, Samsung could offer unique chips for high-end smartphones, stealing lucrative deals from chip suppliers.

Samsung and Meizu did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: If Samsung has enough 14nm chips for third-parties, then either its yields are good, or sales of the Galaxy S6 series are well below expectations.

The post Samsung to offer its Exynos SoCs to other smartphone makers first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/samsung-to-offer-its-exynos-socs-to-other-smartphone-makers/feed/ 3
AMD: We have taped out our first FinFET products https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-have-taped-out-our-first-finfet-products/ https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-have-taped-out-our-first-finfet-products/#comments Fri, 17 Jul 2015 02:48:59 +0000 http://www.kitguru.net/?p=259448 Advanced Micro Devices said on Thursday that it had taped out its first products, which will be made using a FinFET process technology. While AMD does not reveal which products it had taped out, it is highly-likely that one of them is a highly-anticipated microprocessor based on “Zen” micro-architecture. “We have actually just taped-out our …

The post AMD: We have taped out our first FinFET products first appeared on KitGuru.]]>
Advanced Micro Devices said on Thursday that it had taped out its first products, which will be made using a FinFET process technology. While AMD does not reveal which products it had taped out, it is highly-likely that one of them is a highly-anticipated microprocessor based on “Zen” micro-architecture.

“We have actually just taped-out our first couple of FinFET designs,” said Lisa Su, chief executive officer of Advanced Micro Devices, during the company’s earnings conference call with investors and financial analysts.

Ms. Su did not elaborate which of the future designs the company had taped out and when exactly this happened. Nonetheless, two tape-outs mean that the company has managed to successfully design its first products with FinFET transistors. Design of an advanced microprocessor that will be made using a FinFET process technology costs north from $150 million without the cost of photomasks needed for production. Therefore, the tape-out means that the vast majority of investments in these two chips have been made.

amd_fusion_chip_logo_processor

Tape-out is the final stage of the design cycle of an integrated circuit, the point at which the artwork of the IC is sent to a maker of photomasks. Once the set of photolithographic masks is ready and verified, it is sent to a contract manufacturer of the chip, which produces the first working samples of the chip. It may take up to several weeks to prepare a mask-set. Production cycle of a complex FinFET processor is around 90 days from wafer start to chip delivery. As a result, if AMD taped out its first FinFET chips in June, then the company will get the first samples of its products in September.

Mass production of chips nowadays starts between nine and twelve months after the initial tape-out. Therefore, if AMD managed to tape-out its chips last month, then it is on-track to start their high-volume production next June or a bit earlier and release its first products made using a FinFET process technology in late Q3 or early Q4 2016.

amd_graphics_leadership_1

AMD does not disclose which of its FinFET chips it has taped-out. Based on official and unofficial information, it is highly likely that the first AMD products to be made using a FinFET fabrication process will be the code-named “Summit Ridge” central processing unit with up to eight “Zen” cores as well as the code-named “Greenland” graphics processing unit based on the next iteration of the GCN [graphics core next] architecture. Over time all AMD products will be made using FinFET process technologies. The “Summit Ridge” will be used for next-generation AMD Opteron processors for servers as well as for AMD FX processors for desktops.

“We will be bringing different parts of the product line into FinFET at different points in time,” said Ms. Su. “I think what we have said is graphics [processors] will certainly utilize FinFETs as well as our new Zen processors and so they will roll out over the quarters in 2016.”

It is noteworthy that AMD still does not want to disclose its manufacturing partner for FinFET process technologies in 2016.

Discuss on our Facebook page, HERE.

KitGuru Says: It is a long time before we will see the first FinFET products from AMD on the market. However, it is great to see that the company has finished its first two FinFET designs. The biggest part of the work is over for the two FinFET-based products, now AMD needs to execute and release them in time.

The post AMD: We have taped out our first FinFET products first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-have-taped-out-our-first-finfet-products/feed/ 10
GlobalFoundries: We are producing 14nm chips for our customers, yields on-track https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/ https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/#comments Thu, 16 Jul 2015 01:49:28 +0000 http://www.kitguru.net/?p=259254 GlobalFoundries on Wednesday confirmed that it is had initiated high-volume production of chips for its customers using 14nm FinFET (14LPE) process technology. The company claims that yields of its 14nm semiconductors are comparable to yields at Samsung Foundry, the company that developed the 14LPE. “Our 14nm ramp is right on track and our yields are …

The post GlobalFoundries: We are producing 14nm chips for our customers, yields on-track first appeared on KitGuru.]]>
GlobalFoundries on Wednesday confirmed that it is had initiated high-volume production of chips for its customers using 14nm FinFET (14LPE) process technology. The company claims that yields of its 14nm semiconductors are comparable to yields at Samsung Foundry, the company that developed the 14LPE.

“Our 14nm ramp is right on track and our yields are on par with our partner Samsung,” said Jason Gorss, a spokesman for GlobalFoundries.

GlobalFoundries does not disclose how many wafers it can start to process per month using the 14nm LPE [low-power early] manufacturing technology. However, the company indicates that a significant portion of equipment needed for commercial production of chips using 14nm FinFET process has already been installed.

globalfoundries_semiconductor_wafers_300mm

Samsung Electronics began high-volume production of its 14nm Exynos system-on-chips for smartphones using 14LPE process technology in late 2014. The company uses the processors inside its Galaxy S6 smartphones, which indicates that yields are high-enough to make production commercially viable. If GlobalFoundries’ 14nm yields on par with Samsung, it means that they are generally rather good.

GlobalFoundries licensed Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) fabrication processes in 2014. The manufacturing technologies feature FinFET transistors and rely on back-end-of-line (BEOL) interconnects of a 20nm node. Usage of 14nm FinFET transistors allows to increase performance of chips [compared to 20nm chips] by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity. Later on GlobalFoundries will start to produce more advanced chips using 14nm LPP [low-power plus] fabrication process that is designed to enable additional performance amid moderate power consumption.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like the first batch of commercial 14nm chips has already left GlobalFoundries. Hence, if you bought a Samsung Galaxy S6 recently, the chances are that there is a GlobalFoundries-made chip inside it.

The post GlobalFoundries: We are producing 14nm chips for our customers, yields on-track first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/feed/ 5
Nvidia and Samsung still cannot finalize chip manufacturing deal https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/ https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/#comments Sat, 20 Jun 2015 13:23:37 +0000 http://www.kitguru.net/?p=255534 Earlier this year Nvidia Corp. officially named Samsung Electronics its manufacturing partner. However, as it appears, the companies still have not signed any actual deals because negotiations are proceeding with difficulties. The consequence of prolonged negotiations could result in later-than-expected release of Samsung-made Nvidia chips. Nvidia wants Samsung Electronics to guarantee certain level of yield …

The post Nvidia and Samsung still cannot finalize chip manufacturing deal first appeared on KitGuru.]]>
Earlier this year Nvidia Corp. officially named Samsung Electronics its manufacturing partner. However, as it appears, the companies still have not signed any actual deals because negotiations are proceeding with difficulties. The consequence of prolonged negotiations could result in later-than-expected release of Samsung-made Nvidia chips.

Nvidia wants Samsung Electronics to guarantee certain level of yield rate at 14nm for its graphics processing units, reports BusinessKorea. The yield rate is as a condition of a provisional contract with Samsung Electronics, the web-site emphasizes. The negotiations are proceeding with difficulties because Samsung’s 14nm low-power plus (14LPP) fabrication process is still not really mature.

nvidia_tegra_x1_cut

Theoretically, engineering and business decision operations are isolated. Nvidia’s chip designers are working on chips to be made by Samsung, whereas other people are negotiating over pricing. If talks take too much time, then the start of volume production may be delayed, but since Nvidia will need Samsung’s production services only in 2016, it still has weeks or even months to negotiate a deal.

At present, Samsung Foundry is the only contract maker of semiconductors that produces chips using 14nm FinFET process technology in high volume. Taiwan Semiconductor Manufacturing Co. is expected to start mass production of chips using its 16nm FinFET process this month. Intel Corp.’s foundry division offers 14nm FinFET services to select companies and, based on rumours, not everyone is happy with the technology.

According to unofficial information, Nvidia recently taped out its first code-named GP100 graphics processing unit, which belongs to the “Pascal” family of products. The chip is set to be produced using TSMC’s 16nm FinFET+ (CLN16FF+) fabrication process.

samsung_semiconductor_foundry_chip_production_4

One analyst believes that Nvidia plans to use its contract with Samsung’s not only to get access to leading-edge fabrication processes and to ensure high-volume supply of chips, but also in order to cut-down its costs. In fact, a number of fabless semiconductor designers are believed to be using contracts with Samsung as a leverage in their negotiations with TSMC over pricing.

“We believe that Nvidia has a second source for foundry wafers in Samsung, outside of TSMC,” said Doug Freedman, an analyst with RBC Capital Markets, in a note to clients. “While it may be a small part of the wafer supply today, it should create a tailwind for [gross margin percentage] going forward as we believe that non-TSMC wafers can be purchased at as much as 10% below present cost levels.”

Nvidia and Samsung did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: In fact, chip designers and foundries are always negotiating over yields and costs, which is a normal process. No actual conclusions can be made because of prolonged talks.

The post Nvidia and Samsung still cannot finalize chip manufacturing deal first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/feed/ 20
Samsung to make 14nm graphics processors for Nvidia https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-make-14nm-graphics-processors-for-nvidia/ https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-make-14nm-graphics-processors-for-nvidia/#comments Mon, 13 Apr 2015 22:59:37 +0000 http://www.kitguru.net/?p=244915 Nvidia Corp. will use Samsung Electronics’ manufacturing capacities to produce graphics processing units, according to a media report. The move will help Nvidia to increase performance of its GPUs without boosting their power consumption. When Nvidia revealed earlier this year that it will use Samsung’s services to build its chips, it was unclear whether the …

The post Samsung to make 14nm graphics processors for Nvidia first appeared on KitGuru.]]>
Nvidia Corp. will use Samsung Electronics’ manufacturing capacities to produce graphics processing units, according to a media report. The move will help Nvidia to increase performance of its GPUs without boosting their power consumption.

When Nvidia revealed earlier this year that it will use Samsung’s services to build its chips, it was unclear whether the company will order manufacturing of graphics processors or application processors. According to a report from KoreaTimes, Samsung will make GPUs for Nvidia using its 14nm FinFET manufacturing process.

Samsung’s 14nm fabrication technology will help Nvidia to pack significantly more execution units, such as stream processors, inside its GPUs, which will substantially increase their performance in games and other applications.

nvidia_artwork

Previously Nvidia planned to make its code-named “Pascal” graphics processing units using TSMC’s 16nm FinFET manufacturing technology. As it turns out, the company will also use Samsung’s 14nm FinFET process to make its future GPUs.

Samsung is in process of finding new revenue sources. Making chips for Nvidia will significantly increase earnings of the company’s foundry business unit.

“The latest agreement between Samsung and Nvidia is another positive factor lifting Samsung's logic chip business unit. The timing looks good as increased foundry customers justify the Korean chip giant's moves to find new revenue sources,” said a source familiar with the deal.

Nvidia and Samsung did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: What remains to be seen is whether Nvidia will alter its roadmap because of Samsung. The latter is already mass producing 14nm FinFET chips, whereas TSMC will only start making ICs [integrated circuits] using its 16nm FinFET process technology in Q3 2015. Perhaps, Nvidia will be able to roll-out its “Pascal” GPUs ahead of its original plan in 2016.

The post Samsung to make 14nm graphics processors for Nvidia first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-make-14nm-graphics-processors-for-nvidia/feed/ 2
Mubadala: GlobalFoundries begins to ramp up production of 14nm chips https://www.kitguru.net/components/anton-shilov/mubadala-globalfoundries-begins-to-ramp-up-production-of-14nm-chips/ https://www.kitguru.net/components/anton-shilov/mubadala-globalfoundries-begins-to-ramp-up-production-of-14nm-chips/#respond Sat, 04 Apr 2015 04:07:35 +0000 http://www.kitguru.net/?p=243671 Mubadala Development Co., the Abu Dhabi-based investment and development company, said this week that GlobalFoundries, which is owned by Mubadala, has already begun to ramp up production of chips using 14nm fabrication process. “GlobalFoundries announced a strategic collaboration with Samsung to deliver capacity at 14nm, one of the industry’s most advanced nodes, as Fab 8 …

The post Mubadala: GlobalFoundries begins to ramp up production of 14nm chips first appeared on KitGuru.]]>
Mubadala Development Co., the Abu Dhabi-based investment and development company, said this week that GlobalFoundries, which is owned by Mubadala, has already begun to ramp up production of chips using 14nm fabrication process.

“GlobalFoundries announced a strategic collaboration with Samsung to deliver capacity at 14nm, one of the industry’s most advanced nodes, as Fab 8 in Malta, New York began ramping production for customers,” a statement by Mubadala reads.

globalfoundries_semiconductor_wafers_300mm

The 14LPE (low-power early) and the 14LPP (low-power plus) technologies were developed by Samsung Electronics and licensed to GlobalFoundries. The manufacturing processes use FinFET transistors, but rely on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology. While the two fabrication technologies do not significantly reduce costs of chips compared to the previous-generation node, they allow to boost performance of 20nm chips by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing their performance or complexity.

Back in December, 2014, GlobalFoundries announced that it would start mass production of chips using 14nm LPE (low-power early) manufacturing process in the first half of 2015. Shortly after it transpired that the company stopped installation of new equipment needed for manufacturing of chips using 14nm FinFET manufacturing technology and began to stockpile the tools at a warehouse nearby. The contract maker of semiconductors said that there was no delay of 14nm mass production and stockpiling of the equipment was a logistical decision. As it appears, GlobalFoundries was correct and at present it is ramping up production of certain products for undisclosed customers.

globalfoundries_semiconductor_wafer_300mm_foundry_1

It is unknown what kind of chips GlobalFoundries is ramping up at the moment. Among the first customers to adopt Samsung Foundry’s 14nm LPE are Apple and Samsung itself. Theoretically, GlabalFoundries' fab 8 could be ramping up production of Apple's A9 application processors.

GlobalFoundries itself yet has to confirm that it is ramping up production of chips using 14nm node.

Discuss on our Facebook page, HERE.

KitGuru Says: Looks like not only Samsung Foundry, but also GlobalFoundries has managed to beat TSMC to the market with a FinFET process technology. Still keeping in mind TSMC’s vast production capacities, this should not be a major problem for the company.

The post Mubadala: GlobalFoundries begins to ramp up production of 14nm chips first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/mubadala-globalfoundries-begins-to-ramp-up-production-of-14nm-chips/feed/ 0
GlobalFoundries and Samsung to produce Apple’s next A9 SoC together – report https://www.kitguru.net/components/anton-shilov/globalfoundries-and-samsung-to-produce-apples-next-a9-soc-together/ https://www.kitguru.net/components/anton-shilov/globalfoundries-and-samsung-to-produce-apples-next-a9-soc-together/#respond Sat, 04 Apr 2015 03:33:32 +0000 http://www.kitguru.net/?p=243660 Based on the recent market rumours, Samsung Foundry and GlobalFoundries have landed orders to produce Apple’s A9 system-on-chip that will power the company’s next-generation iPhone and iPad devices. It is unknown whether Taiwan Semiconductor Manufacturing Co. will also produce the A9 SoC at any point. At present Apple’s A8 application processors are produced at fabs …

The post GlobalFoundries and Samsung to produce Apple’s next A9 SoC together – report first appeared on KitGuru.]]>
Based on the recent market rumours, Samsung Foundry and GlobalFoundries have landed orders to produce Apple’s A9 system-on-chip that will power the company’s next-generation iPhone and iPad devices. It is unknown whether Taiwan Semiconductor Manufacturing Co. will also produce the A9 SoC at any point.

At present Apple’s A8 application processors are produced at fabs operated by TSMC and Samsung. For a number of months various media outlets have been reporting about Samsung Foundry and TSMC sharing orders for Apple’s A9 application processors as well. However, according to Bloomberg news-agency, Apple will use production capacities of Samsung and GlobalFoundries for its A9 chips. It is unclear whether TSMC will be involved in production of the next-gen application processor from Apple.

samsung_semiconductor_foundry_chip_production_1

Samsung Foundry is already making commercial chips using 14nm FinFET (14LPE, low-power early) manufacturing technology. GlobalFoundries intends to start production using Samsung’s 14LPE tech in the first half of this year, even though it is unclear how many wafers can GlobalFoundries process using the 14LPE. By contrast, TSMC said it would only start production of semiconductors using its 16nm FinFET (16FF) fabrication process only in the third quarter of 2015, which is later than expected.

If TSMC is considerably late with volume manufacturing using 16FF and will not be able to quickly ramp up production of chips, then it makes a great sense for Apple to switch orders to Samsung and GlobalFoundries.

globalfoundries_cleanroom_fab8

Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) manufacturing technologies eventually will be used to make chips at three fabs on the planet: Samsung’s S2 fab in Austin, Texas, USA; Samsung’s S3 fab in HwaSeong, South Korea; GlobalFoundries’ fab 8 in Saratoga, New York, USA. Production capacities of the three fabs should be enough to produce sufficient amount of Apple’s A9 chips in theory. However, it should be kept in mind that GlobalFoundries has never tried to implement Samsung’s manufacturing process for mass production at its facilities.

If Samsung and GlobalFoundries exclusively produce Apple’s A9 application processor, this will mean a major win for the alliance, which will drive additional clients to them.

Apple, GlobalFoundries, Samsung and TSMC did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: Since the information comes from unofficial sources, it can naturally be inaccurate, or not completely accurate. If TSMC has lost Apple as its customer for 16nm FinFET technology, it could have massive effects on TSMC and its clients. The former’s revenue will be affected, but its customers will be able to start production of chips using leading-edge process technology earlier than they expected.

The post GlobalFoundries and Samsung to produce Apple’s next A9 SoC together – report first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-and-samsung-to-produce-apples-next-a9-soc-together/feed/ 0
Nvidia starts to use Samsung as a chip manufacturing partner – company https://www.kitguru.net/components/anton-shilov/nvidia-starts-to-use-samsung-as-a-chip-manufacturing-partner-company/ https://www.kitguru.net/components/anton-shilov/nvidia-starts-to-use-samsung-as-a-chip-manufacturing-partner-company/#comments Fri, 20 Mar 2015 14:01:08 +0000 http://www.kitguru.net/?p=241183 Nvidia Corp. and Samsung Electronics have quietly signed an agreement under which the latter will produce computer chips for the former. At present, it is unknown whether Samsung will manufacture graphics processors or application processors for the chip designer, but it is obvious that Taiwan Semiconductor Manufacturing Co. is no longer exclusive production partner of …

The post Nvidia starts to use Samsung as a chip manufacturing partner – company first appeared on KitGuru.]]>
Nvidia Corp. and Samsung Electronics have quietly signed an agreement under which the latter will produce computer chips for the former. At present, it is unknown whether Samsung will manufacture graphics processors or application processors for the chip designer, but it is obvious that Taiwan Semiconductor Manufacturing Co. is no longer exclusive production partner of Nvidia.

“We utilize industry-leading suppliers, such as Taiwan Semiconductor Manufacturing Company Limited and Samsung Electronics Co. Ltd, to produce our semiconductor wafers,” a statement in the company’s latest 10K filing reads. Previously, similar filings only said that Nvidia uses manufacturing capacities of TSMC.

Rumours that Samsung will produce chips for Nvidia have been floating around for a number of months, but Nvidia Corp. has been downplaying them.

nvidia_tegra_x1_cut

Right now Nvidia’s lineup of products includes graphics processing units (GPUs) produced using TSMC’s 28nm fabrication process, mobile application processors made using low-power 28nm manufacturing technology as well as system-on-chips for automotive applications manufactured at TSMC’s 20nm node. Going forward Nvidia plans to make GPUs (that belong to the “Pascal” generation) and future SoCs using TSMC’s 16nm FinFET and 16nm FinFET+ process technologies, according to publicly available roadmaps.

It is unknown which processors will Samsung produce for Nvidia. Fabrication technologies at different foundries are dissimilar; hence, Samsung cannot start production of the same chips as TSMC immediately. Nvidia will have to redesign its products for Samsung’s manufacturing technologies using different libraries of elements and design tools.

samsung_semiconductor_foundry_chip_production_1

Many fabless chip developers nowadays are concerned about yields of processors that will be made using 14nm FinFET and 16nm FinFET manufacturing technologies at Samsung/GlobalFoundries and TSMC, respectively. In addition, they are concerned about availability of manufacturing capacities at leading foundries. Therefore, fabless designers plan to diversify FinFET chip suppliers, something that is expected to bring a lot of benefits to Samsung and GlobalFoundries.

Since TSMC will be late with volume production of chips using 16nm FinFET process technologies, for many makers it makes a great sense to contract Samsung, who is already making semiconductors using 14nm FinFET manufacturing technology.

NVIDIA_GeForce_GTX_900series_KeyVisual_HD_003

One analyst believes that Nvidia plans to use its contract with Samsung’s not only to get access to leading-edge fabrication processes and to ensure high-volume supply of chips, but also in order to cut-down its costs.

“We believe that Nvidia has a second source for foundry wafers in Samsung, outside of TSMC,” said Doug Freedman, an analyst with RBC Capital Markets, in a note to clients, reports SemiconductorEngineering. “While it may be a small part of the wafer supply today, it should create a tailwind for [gross margin percentage] going forward as we believe that non-TSMC wafers can be purchased at as much as 10% below present cost levels.”

No matter what Nvidia’s goals with Samsung’s foundry are, the partnership between the two companies represents a major win for Samsung’s contract manufacturing business unit. There are also rumours that Qualcomm might start using Samsung's chip production services this year. This is also a wake-up call for TSMC as its major customers are now looking at other foundries.

Discuss on our Facebook page, HERE.

KitGuru Says: It is rather ironic that as Nvidia and Samsung collaborate for chip manufacturing, the two companies are fighting in courts and accuse each other of patent infringements.

The post Nvidia starts to use Samsung as a chip manufacturing partner – company first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/nvidia-starts-to-use-samsung-as-a-chip-manufacturing-partner-company/feed/ 5
Samsung kicks off production of 14nm Exynos system-on-chips https://www.kitguru.net/components/cpu/anton-shilov/samsung-kicks-off-production-of-14nm-exynos-system-on-chips/ https://www.kitguru.net/components/cpu/anton-shilov/samsung-kicks-off-production-of-14nm-exynos-system-on-chips/#respond Tue, 17 Feb 2015 23:59:48 +0000 http://www.kitguru.net/?p=236212 Samsung Electronics has announced that it had begun mass production of a new Exynos 7 system-on-chip for mobile and multimedia devices using 14nm FinFET process technology. The news Exynos 7 Octa processor will likely power the company’s upcoming mobile devices, including flagship smartphones. Samsung started to mass produce chips using 14nm low-power early (14LPE) fabrication …

The post Samsung kicks off production of 14nm Exynos system-on-chips first appeared on KitGuru.]]>
Samsung Electronics has announced that it had begun mass production of a new Exynos 7 system-on-chip for mobile and multimedia devices using 14nm FinFET process technology. The news Exynos 7 Octa processor will likely power the company’s upcoming mobile devices, including flagship smartphones.

Samsung started to mass produce chips using 14nm low-power early (14LPE) fabrication process late in 2014, but the company did not reveal many details about the process. This week Samsung said that if compared to its 20nm manufacturing technology, the new process enables up to 20 per cent higher clock-rate, 35 per cent lower power consumption and 30 per cent productivity gain. The latter fact is surprising because both 14nm and 20nm technologies developed by Samsung use the same back-end interconnect flow, which means that the chips made using the two processes should be similar in sizes. Performance improvement and reduced power consumption are results of usage of three-dimensional (3D) FinFET transistors.

Samsung did not reveal precise specifications of the new Exynos 7 Octa, but the one it introduced last year integrates eight cores that work in Big.Little HMP [heterogeneous multi-processing] configuration: four high-performance ARM Cortex- A57 cores and four low-power ARM Cortex-A53 cores for heavy loads and lighter jobs, respectively. Thanks to the HMP technology, all cores can operate at once in order to perform certain very demanding tasks. The new system-on-chip features ARM Mali-T760 graphics engine with 16 shader cores and support for OpenGL ES 1.1, 2.0, 3.0, 3.1; OpenCL 1.1 and DirectX 11 application programming interfaces.

samsung_exynos_7_octa

The new application processor from Samsung also features sophisticated multi format codec (MFC) block that is capable of encoding/decoding video in up to 4K (3840*2160) UHD resolution (using HEVC/H.265 and other codecs) and supports output to ultra-high-definition displays or TVs. Like other modern mobile APs, the Exynos 7 features one 16MP and a 5MP image signal processors.

“Samsung’s advanced 14nm FinFET process technology is undoubtedly the most advanced logic process technology in the industry,” said Gabsoo Han, executive vice president of sales & marketing at system LSI business at Samsung Electronics. “We expect the production of our 14nm mobile application processor to positively impact the growth of the mobile industry by enabling further performance improvements for cutting-edge smartphones.”

Later this year Samsung will use its 14nm LPE process technology to manufacture other chips for its devices as well.

Discuss on our Facebook page, HERE.

KitGuru Says: It is unknown whether Samsung plans to use the new 14nm Exynos 7 Octa chip for its upcoming Galaxy S6 smartphone. If it does, then it looks like the company not only managed to start manufacturing of 14nm chips considerably ahead of Taiwan Semiconductor Manufacturing Co., but it has managed to initiate high-volume production about half of a year ahead of its rival.

The post Samsung kicks off production of 14nm Exynos system-on-chips first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/samsung-kicks-off-production-of-14nm-exynos-system-on-chips/feed/ 0
Samsung to produce chips for Nvidia, Qualcomm – analyst https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-produce-chips-for-nvidia-analyst/ https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-produce-chips-for-nvidia-analyst/#respond Wed, 04 Feb 2015 23:59:21 +0000 http://www.kitguru.net/?p=234052 Samsung Electronics is expected to significantly improve its contract semiconductor manufacturing business this year thanks to early start of production using 14nm FinFET fabrication process. While Apple, the largest customer of Samsung, now orders chips not only to Samsung, but to TSMC as well, it continues to work with the conglomerate. Moreover, due to concerns …

The post Samsung to produce chips for Nvidia, Qualcomm – analyst first appeared on KitGuru.]]>
Samsung Electronics is expected to significantly improve its contract semiconductor manufacturing business this year thanks to early start of production using 14nm FinFET fabrication process. While Apple, the largest customer of Samsung, now orders chips not only to Samsung, but to TSMC as well, it continues to work with the conglomerate. Moreover, due to concerns about capacities, a number of customers now plan to make chips at both TSMC and Samsung.

Nvidia Corp., a long-time customer of Taiwan Semiconductor Manufacturing Co., as well as Qualcomm and some other fabless semiconductor developers, plan to order chip manufacturing to Samsung Electronics this year. Many chip designers are concerned about yields of ICs that will be made using 14nm FinFET and 16nm FinFET manufacturing technologies. In addition, they are concerned about availability of manufacturing capacities. As a result, fabless companies plan to diversify FinFET chip suppliers, something that is expected to bring a lot of benefits to Samsung and GlobalFoundries.

“Global AP makers are likely to focus on lowering the cost of production this year in order to offset a decline in AP prices,” said Park Yu-ak, an analyst at Meritz Securities, in an interview with BusinessKorea. “Samsung's system semiconductor business is going to mass produce and supply chips to Apple, Qualcomm, and Nvidia starting in the second quarter of this year. As a result, the Korean tech giant is projected to exhibit stellar performance.”

It is not completely clear which chips from Nvidia will be made by Samsung, but it is an alarming news that a loyal TSMC customer wants Samsung to produce a product or two.

samsung_semiconductor_foundry_chip_production_4

Recently Nvidia introduced its Tegra X1 system-on-chip that will be made using 20nm fabrication process at TSMC.

Since Nvidia’s next-generation graphics processing units that are based on the code-named “Pascal” architecture are due only in 2016, it is unlikely that Samsung will start production of Nvidia’s new GPUs in the second quarter of 2015. It is possible that the company will shrink certain versions of its current-generation graphics chips to 14nm FinFET process to cut their power consumption, decrease manufacturing cost and/or improve performance. However, it is more likely that Samsung will make certain new Tegra application processors for Nvidia.

Nvidia, Samsung, Qualcomm and TSMC did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: If the information is correct, then this will be the first time in more than ten years when Nvidia uses a foundry other than TSMC.

The post Samsung to produce chips for Nvidia, Qualcomm – analyst first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-to-produce-chips-for-nvidia-analyst/feed/ 0
AMD: We are actively designing 14nm FinFET chips https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-are-actively-designing-14nm-finfet-chips/ https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-are-actively-designing-14nm-finfet-chips/#comments Wed, 21 Jan 2015 20:59:13 +0000 http://www.kitguru.net/?p=231884 Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing Co., which is a huge …

The post AMD: We are actively designing 14nm FinFET chips first appeared on KitGuru.]]>
Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing Co., which is a huge surprise.

“We are actively designing a number of products in the 14nm FinFET process technology,” said Lisa Su, chief executive officer of AMD, during a conference call with investors and financial analysts.

globalfoundries_semiconductor_wafers_300mm

TSMC, the world’s largest contract maker of semiconductors, will offer its customers 16nm FinFET and 16nm FinFET+ manufacturing technologies starting from Q3 2015. By contrast, GlobalFoundries and Samsung Electronics will use 14nm LPE (low power early) and 14nm LPP (low power plus) fabrication processes to produce chips for their clients. In fact, Samsung is already making semiconductors using 14nm LPE technology, whereas GlobalFoundries expects to start making 14nm chips sometimes in the first half of the year.

AMD did not reveal which of its chips will be made using 14nm FinFET fabrication process and which of the two technologies will be used. However, it is logical to expect the company to utilise various 14nm technologies for all types of its products, including accelerated processing units, central processing units, graphics processing units as well as semi-custom chips for various applications.
amd_apu_beema_mullins_puma_jaguar_x86_fusion

Separately, AMD confirmed that it would reveal more details about its long-term roamdaps in the coming months at the financial analyst day.

“Relative to timing on FinFET, we will be talking more about our long-term roadmaps at our financial analyst day,” said Ms. Su.

Discuss on our Facebook page, HERE.

KitGuru Says: If AMD plans to use 14nm FinFET instead of 16nm FinFET, this will mean that it will cut its orders to TSMC. This could be an alarming sign for TSMC since AMD is one of the largest customers of the company.

The post AMD: We are actively designing 14nm FinFET chips first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-are-actively-designing-14nm-finfet-chips/feed/ 8
GlobalFoundries denies problems with 14nm: everything is as planned https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-denies-problems-with-14nm-everything-is-as-planned/ https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-denies-problems-with-14nm-everything-is-as-planned/#respond Wed, 31 Dec 2014 02:07:52 +0000 http://www.kitguru.net/?p=228295 GlobalFoundries, the world’s second largest contract manufacturer of chips, late on Tuesday denied existence of problems with the ramp up of production using 14nm fabrication process. According to the foundry, it specifically stockpiles manufacturing equipment at a warehouse so that to install it once the fab 8 facility becomes compatible with new tools. On Tuesday …

The post GlobalFoundries denies problems with 14nm: everything is as planned first appeared on KitGuru.]]>
GlobalFoundries, the world’s second largest contract manufacturer of chips, late on Tuesday denied existence of problems with the ramp up of production using 14nm fabrication process. According to the foundry, it specifically stockpiles manufacturing equipment at a warehouse so that to install it once the fab 8 facility becomes compatible with new tools.

On Tuesday it was reported that GlobalFoundries stopped installation of new equipment needed to start manufacturing of chips using 14nm FinFET manufacturing technology the company licensed from Samsung Electronics. Market analysts believed that slowdowns with installations will result in delayed starts of mass production. However, GlobalFoundries claims that everything is on-track.

“Our 14nm plan has not changed,” said Jason Gorss, a spokesperson for GlobalFoundries. “A key part of the strategy is to order tools ahead of facility readiness to enable the fastest possible ramp. Due to the large number of tools coming in, we have our vendors stage these tools at a nearby warehouse to facilitate a fast install. This logistical move is in no way related to yield challenges or a delay in our technology ramp and is, in fact, quite the opposite. Our Fab 8 ramp is on track and we have yielding customer product on our 14nm technology.”

globalfoundries_fab8_2
GlobalFoundries' fab 8 manufacturing facility

Earlier this month GlobalFoundries announced that it would start mass production of chips using 14nm LPE (low-power early) manufacturing process in the first half of 2015.

Even though GlobalFoundries denies it has any yield issues with the 14nm production technology, it should be noted that all makers of chips ran into problems with FinFET fabrication processes. Even Intel Corp., the world’s largest maker of microprocessors, had to delay introduction of its code-named “Broadwell” processors made using 14nm tech by about a year because of yields.

Discuss on our Facebook page, HERE.

KitGuru Says: When it comes to 14nm FinFET production process, GlobalFoundries and Samsung Electronics need to offer a viable alternative to Taiwan Semiconductor Manufacturing Co. when it comes to available capacities and yields. Hopefully, the companies will succeed. This will naturally be better for everyone on the market.

The post GlobalFoundries denies problems with 14nm: everything is as planned first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-denies-problems-with-14nm-everything-is-as-planned/feed/ 0
GlobalFoudries stops installations of 14nm tools, could delay production https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoudries-stops-installations-of-14nm-tools-could-delay-production/ https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoudries-stops-installations-of-14nm-tools-could-delay-production/#respond Tue, 30 Dec 2014 19:07:13 +0000 http://www.kitguru.net/?p=228269 Although GlobalFoundries has licensed 14nm FinFET manufacturing technology from Samsung Electronics back in May, 2014, it looks like the company may have to delay mass production using the fabrication process. According to a media report, the company has stopped installation of equipment required to make chips using 14nm FinFET technology. “We have confirmed through numerous …

The post GlobalFoudries stops installations of 14nm tools, could delay production first appeared on KitGuru.]]>
Although GlobalFoundries has licensed 14nm FinFET manufacturing technology from Samsung Electronics back in May, 2014, it looks like the company may have to delay mass production using the fabrication process. According to a media report, the company has stopped installation of equipment required to make chips using 14nm FinFET technology.

“We have confirmed through numerous sources that over the last two weeks GlobalFoundries has stopped deliveries of tools for 14nm to its fab and instead is having the tools housed at a nearby warehouse,” said Robert Maire, a semiconductor equipment observer at Semiwatch, in a blog post.

globalfoundries_semiconductor_wafers_300mm

Since the 14nm FinFET fabrication process used by Samsung Electronics used by GlobalFoundries is not based on GF’s 20nm technology, the company needs to install certain new tools to start making chips using the manufacturing process. Unfortunately, it does not seem that the fab 8 in Saratoga county, New York, is ready for this equipment.

“We hear that tool makers are told that the fab facilities are not ready and it sounds like a one to two quarter delay,” said Mr. Maire. “Some tool makers are speculating that the delay could also be related to financial issues or yield issues or a host of other odd rumors.”

GlobalFoundries needs to “copy exactly” Samsung’s 14nm FinFET process technology. The companies need to maximally align their equipment setups before starting mass production so that the chips produced at different fabs would be completely identical.

A number of companies have criticized Samsung’s 14nm FinFET process technology for low yields (just like many in the industry criticize TSMC’s 16nm FinFET), therefore, it may not be the right time for GlobalFoundries to install new equipment if the tools cannot be used for mass production.

The cleanroom at GLOBALFOUNDRIES' Fab 1 in Dresden, Germany

If GlobalFoundries delays installation of equipment necessary to make chips using 14nm FinFET fabrication process from, for example, mid-March to mid-July, then companies like Advanced Micro Devices will not tape out their forthcoming 14nm products in time. It usually takes two to three quarters from the initial tape-out of chips to their commercial launch. As a result, a three-month delay by GlobalFoundries could mean that certain companies will have to delay mass production from early 2016 to mid-2016, a major postponement in the semiconductor world. Obviously, it is possible to tape out chips at Samsung and then produce them at GF, but given FinFET yield issues and increased demands for capacity, it may not be that easy for Samsung to run test shuttle wafers for GF’s clients.

It is interesting to note that due to low yields and high per-transistor costs of both 14nm FinFET (Samsung) and 16nm FinFET (TSMC), both foundries are boosting their spending on 10nm FinFET fabrication processes. A good news is that after absorbing IBM’s foundry business GlobalFoundries now has a lot more specialists to develop the technology. However, a bad news is that 10nm is still a couple of years away.

According to market rumours, many fabless semiconductor developers are now working on chips that will be made at TSMC, Samsung and GlobalFoundies. Previously the majority of companies used only one or two manufacturing partners. However, it looks like due to yield and cost issues fabless designers of chips will use multiple foundry partners going forward.

GlobalFoundries and Samsung did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: While the situation with 14nm and 16nm FinFET technologies is more or less clear and business approaches of large developers of chips seem logical, it remains to be seen what effect will it have on chip and contract chip manufacturing businesses. Taping out chips at multiple fabs requires a lot of capital spending, something that many companies in the industry just cannot afford. As a result, expect mergers and acquisitions…

The post GlobalFoudries stops installations of 14nm tools, could delay production first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoudries-stops-installations-of-14nm-tools-could-delay-production/feed/ 0
GlobalFoundries: Customized and SOI process technologies gain importance https://www.kitguru.net/components/anton-shilov/globalfoundries-customized-and-soi-process-technologies-gain-importance/ https://www.kitguru.net/components/anton-shilov/globalfoundries-customized-and-soi-process-technologies-gain-importance/#respond Fri, 19 Dec 2014 20:39:37 +0000 http://www.kitguru.net/?p=227469 While non-standard fabrication processes are sometimes challenging and make it harder to re-use intellectual property across different product lineups, they are just what the doctor ordered when one needs to achieve certain goals. According to GlobalFoundries, the No. 2 contract maker of chips, many customized process technologies are gaining importance nowadays. Although advanced chips like …

The post GlobalFoundries: Customized and SOI process technologies gain importance first appeared on KitGuru.]]>
While non-standard fabrication processes are sometimes challenging and make it harder to re-use intellectual property across different product lineups, they are just what the doctor ordered when one needs to achieve certain goals. According to GlobalFoundries, the No. 2 contract maker of chips, many customized process technologies are gaining importance nowadays.

Although advanced chips like application processors or graphics processing units greatly benefit from leading-edge manufacturing technologies, many other chips do not require advanced fabrication processes or high clock-rates. When it comes to a lot of consumer electronics devices, especially emerging applications like wearables or Internet-of-things (IoT), lowest cost and lowest possible power consumption are needed. GlobalFoundries claims that designers of chips for the aforementioned devices demand customized process technologies to reduce power consumption to the lowest possible level.

“Along with the rise of a number of emerging markets, we have noticed increasing demand for some niche technologies, customized processes and design services with regard to the development of new chips by clients,” said Chuck Fox, vice president of global sales at GlobalFoundries, in an interview with DigiTimes. “For example, the low power and low cost SOI (silicon on insulator) process which we have developed in 2014 has now been widely adopted by RF chip suppliers.”

globalfoundries_semiconductor_wafers_300mm

It is interesting to note that although GlobalFoundries announced support for FD-SOI [fully depleted silicon on insulator], a technology that can help to further cut-down power consumption of chips made using planar process technologies, early last year, it has not even started to adopt it. Moreover, the company has not even talked about FD-SOI since 2013. Nonetheless, the company claims that it has expanded SOI manufacturing capacities this year.

“Since we have been operating at full capacity persistently, we have decided to ramp up our capacity for SOI process to meet increasing demand,” added Mr. Fox.

Moreover, as some companies, namely, Advanced Micro Devices, cease to use custom leading-edge fabrication processes, a number of other companies seem to have nothing against using such technologies provided that they guarantee lower power consumption and affordable costs. Moreover, they are even willing to pay for additional services.

“For new business opportunities that will increase demand for chips for IoT, wearable device, telematics applications, we also plan to step up our services by providing niche manufacturing processes and technology support,” stressed the vice president.

Discuss on our Facebook page, HERE.

KitGuru Says: GlobalFoundries pins a lot of hopes on customized and SOI process technologies, which is logical as usage of both ensures that going forward it will not have to fight for particular customers simply because other foundries cannot replicate GF’s manufacturing processes. Moreover, added value of customized and SOI manufacturing technologies is considerably higher compared to industry-standard fabrication processes. What is not clear is why GlobalFoundries is de-facto downplaying FD-SOI these days, whereas Samsung Electronics, licensed it in May, 2014.

The post GlobalFoundries: Customized and SOI process technologies gain importance first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-customized-and-soi-process-technologies-gain-importance/feed/ 0
GlobalFoundries to produce 14nm chips in the first half of 2015 https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-to-produce-14nm-chips-in-the-first-half-of-2015/ https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-to-produce-14nm-chips-in-the-first-half-of-2015/#comments Thu, 18 Dec 2014 23:59:45 +0000 http://www.kitguru.net/?p=227155 GlobalFoundries, the world’s second largest contract maker of semiconductors, plans to start volume production of chips using 14nm fabrication process in the first half of 2015, a little later than Samsung Electronics, but, possibly, earlier than Taiwan Semiconductor Manufacturing Co. The company does not reveal who will be the first customers to use the manufacturing …

The post GlobalFoundries to produce 14nm chips in the first half of 2015 first appeared on KitGuru.]]>
GlobalFoundries, the world’s second largest contract maker of semiconductors, plans to start volume production of chips using 14nm fabrication process in the first half of 2015, a little later than Samsung Electronics, but, possibly, earlier than Taiwan Semiconductor Manufacturing Co. The company does not reveal who will be the first customers to use the manufacturing technology, but claims that there will be at least a number of them.

“We plan to begin volume production with a 14nm LPE (low power early) process in the first half of 2015 and then push ahead with a 14nm LPP (low power plus) process in the second half of the year,” said Chuck Fox, senior vice president of global sales at GlobalFoundries, in an interview with DigiTimes.

Samsung Electronics recently confirmed that it had begun mass production of chips using 14nm LPE fabrication process. The company did not reveal what kind of chips it makes and for whom, but market rumours suggest that at least one chip is Samsung’s own Exynos application processor for next-generation Galaxy S6 smartphone, whereas other chip or chips are intended for Apple’s future consumer electronics devices, such as Apple Watch.

The cleanroom at GLOBALFOUNDRIES' Fab 1 in Dresden, Germany

GlobalFoundries will make chips using 14LPE fabrication process for numerous undisclosed customers, one of which could be Apple. The majority of GF’s customers reportedly prefer more advanced 14LPP fabrication process, which is supposedly due to be available in the second half of 2015.

“Based on chip design projects commissioned by our clients, we believe a number of clients will produce wafer starts using 14nm LPE process initially,” said Mr. Fox. “However, we expect the number of clients as well as wafer orders to grow robustly when we enter the 14nm LPP process, which will help ramp up our market share and sales significantly.”

One of the most interesting things to find out is whether AMD plans to use GlobalFoundries’ services to make chips using 14LPE or 14LPP fabrication processes in 2015. Officially, the chip designer implies that next year it will sell chips made using 20nm and 28nm manufacturing technologies, but, perhaps, the company does not disclose all of its plans and there are surprises incoming.

Discuss on our Facebook page, HERE.

KitGuru Says: One of the key things about GlobalFoundries 14nm plans is whether the company will beat TSMC with 14nm FinFET time-to-market. TSMC is on-track to start volume production of chips using 16nm FinFET process technology in late Q2 or early Q3 2015. If both Samsung and GlobalFoundries are ahead of TSMC, then this will mean a lot for the foundry industry.

The post GlobalFoundries to produce 14nm chips in the first half of 2015 first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-to-produce-14nm-chips-in-the-first-half-of-2015/feed/ 4
Samsung begins mass production of chips using 14nm FinFET process tech https://www.kitguru.net/components/anton-shilov/samsung-begins-mass-production-of-chips-using-14nm-finfet-process-tech/ https://www.kitguru.net/components/anton-shilov/samsung-begins-mass-production-of-chips-using-14nm-finfet-process-tech/#comments Thu, 11 Dec 2014 23:56:03 +0000 http://www.kitguru.net/?p=226000 Samsung Electronics has begun volume production of semiconductors using 14nm FinFET fabrication process in accordance with its plans outlined earlier this year. The company does not disclose which chips it produces using its 14LPE (low-power early) manufacturing technology and for which companies. Kim Ki-nam, president of Samsung's semiconductor business and head of System LSI business, …

The post Samsung begins mass production of chips using 14nm FinFET process tech first appeared on KitGuru.]]>
Samsung Electronics has begun volume production of semiconductors using 14nm FinFET fabrication process in accordance with its plans outlined earlier this year. The company does not disclose which chips it produces using its 14LPE (low-power early) manufacturing technology and for which companies.

Kim Ki-nam, president of Samsung's semiconductor business and head of System LSI business, said in an interview with ZDNet that the contract maker of semiconductor had started making chips using its 14nm FinFET technology. Earlier this month Chinese web-site Expreview reported that at lease one of Samsung’s production facilities had started volume production using the 14LPE process.

According to Samsung, its 14nm LPE process technology allows to boost performance of 20nm chips by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing their performance or complexity. The process relies on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology and does not significantly reduce costs of chips compared to the previous-generation node.

samsung_semiconductor_facility

At present it is unclear what kind of chips Samsung produces using its latest 14nm FinFET technology. Some rumours suggest that the conglomerate is making its next-generation Exynos system-on-chip for mobile devices, whereas the other rumours imply that the company manufactures application processors for Apple’s Watch device.

Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) fabrication processes eventually will be used to make chips at three semiconductor manufacturing facilities in the world: Samsung’s S2 fab in Austin, Texas, USA; Samsung’s S3 fab in HwaSeong, South Korea; GlobalFoundries’ fab 8 in Saratoga, New York, USA.

Discuss on our Facebook page, HERE.

KitGuru Says: Looks like Samsung Electronics has beaten Taiwan Semiconductor Manufacturing Co. with the start of mass production using 14nm FinFET process technology by at least half of a year. Moreover, Samsung is only about three or four months behind Intel Corp., which started volume production using 14nm FinFET tech in August. Still, it should be noted that Intel’s 14nm technology is more progressive than Samsung’s since it uses “true” 14nm BEOL and enables the chip giant to shrink sizes of its designs from 22nm.

The post Samsung begins mass production of chips using 14nm FinFET process tech first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/samsung-begins-mass-production-of-chips-using-14nm-finfet-process-tech/feed/ 1