Foundry | KitGuru https://www.kitguru.net KitGuru.net - Tech News | Hardware News | Hardware Reviews | IOS | Mobile | Gaming | Graphics Cards Thu, 02 Jun 2022 10:47:54 +0000 en-US hourly 1 https://wordpress.org/?v=6.4.3 https://www.kitguru.net/wp-content/uploads/2021/06/cropped-KITGURU-Light-Background-SQUARE2-32x32.png Foundry | KitGuru https://www.kitguru.net 32 32 Intel might turn to Samsung for a chip production boost https://www.kitguru.net/components/cpu/joao-silva/intel-might-turn-to-samsung-for-a-chip-production-boost/ https://www.kitguru.net/components/cpu/joao-silva/intel-might-turn-to-samsung-for-a-chip-production-boost/#respond Wed, 01 Jun 2022 10:21:28 +0000 https://www.kitguru.net/?p=562433 Besides TSMC and its own foundries, Intel may have a new production source for its chips. A new report claiming the blue team is in conversation with Samsung has emerged, as the CEOs of the two companies met this past Monday...

The post Intel might turn to Samsung for a chip production boost first appeared on KitGuru.]]>
Besides TSMC and its own foundries, Intel may have a new production source for its chips. A new report claiming the blue team is in conversation with Samsung has emerged, as the CEOs of the two companies met this past Monday.

According to The Korea Herald, Gelsinger went to Seoul, Korea, after attending the 2022 World Economic Forum in Davos, Switzerland. There, Intel's CEO and Lee Jae-Yong, vice chairman of Samsung Electronics, had the opportunity to talk about unconfirmed subjects, leading some to believe that it could be related to Intel using Samsung's foundries for producing chips.

In this meeting, there were reportedly many other Samsung executives, including Kyung Kye-Hyun, Samsung's co-CEO responsible for the chip business, Roh Tae-moon, chief of Samsung's mobile division, and other high-ranking managers from various departments within the company.

At the moment, Intel is manufacturing in its foundries and outsourcing some production to TSMC. However, adding a name such as Samsung to the list of suppliers would be an excellent way to improve production. After all, the Korean company is the second biggest foundry worldwide, only behind TSMC.

Discuss on our Facebook page, HERE.

KitGuru says: With Samsung foundries, can Intel recover the chip supremacy it once had? Will other IDMs follow Intel's footsteps and start expanding their line-up of suppliers?

The post Intel might turn to Samsung for a chip production boost first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/joao-silva/intel-might-turn-to-samsung-for-a-chip-production-boost/feed/ 0
Intel announces plans to build ‘mega fab’ in Europe https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-announces-plans-to-build-mega-fab-in-europe/ https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-announces-plans-to-build-mega-fab-in-europe/#respond Tue, 15 Mar 2022 15:48:43 +0000 https://www.kitguru.net/?p=553764 Last year, Intel announced plans to build new facilities in Europe to boost chip production. Now, that plan has been finalised, with Intel today announcing plans to invest as much as 80 billion euros in the EU over the next decade, as well as plans to create six new facilities for R&D and chip fabrication …

The post Intel announces plans to build ‘mega fab’ in Europe first appeared on KitGuru.]]>
Last year, Intel announced plans to build new facilities in Europe to boost chip production. Now, that plan has been finalised, with Intel today announcing plans to invest as much as 80 billion euros in the EU over the next decade, as well as plans to create six new facilities for R&D and chip fabrication across Europe. 

Intel's new 17 billion euro ‘mega-fab' will be built in Germany. This will be Intel's biggest project, setting up a new “leading-edge semiconductor fab mega-site” in Germany. In addition, a new R&D facility will be built in France, as well as additional R&D, manufacturing and foundry facilities in Ireland, Italy, Poland and Spain.

This is a landmark investment for Intel and will bring a huge boost to the European chip ecosystem. This should also create a more robust supply chain and help tackle the chip shortage. Speaking on Intel's push into Europe, CEO, Pat Gelsinger, said: “Our planned investments are a major step both for Intel and for Europe. The EU Chips Act will empower private companies and governments to work together to drastically advance Europe’s position in the semiconductor sector. ”

Construction of the fabrication facilities in Germany will begin in the first half of 2023 and will be up and running in 2027. Once the new fabs are online, they are expected to deliver chips using Intel's “most advanced, Angstrom-era transistor technologies”.

Intel will be investing ‘up to' 80 billion euros in the EU over the next decade, starting with this initial investment of 33 billion euros to build currently announced facilities. Intel is in negotiations to build additional facilities and expand in the years ahead.

Discuss on our Facebook page, HERE.

KitGuru Says: With Intel making such big moves to expand in the EU, we have to wonder if competitors will follow. 

The post Intel announces plans to build ‘mega fab’ in Europe first appeared on KitGuru.]]>
https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-announces-plans-to-build-mega-fab-in-europe/feed/ 0
Qualcomm and Amazon to be Intel’s first foundry customers https://www.kitguru.net/gaming/matthew-wilson/qualcomm-and-amazon-to-be-intels-first-foundry-customers/ https://www.kitguru.net/gaming/matthew-wilson/qualcomm-and-amazon-to-be-intels-first-foundry-customers/#respond Tue, 27 Jul 2021 12:14:43 +0000 https://www.kitguru.net/?p=524752 A while back, Intel announced plans to get into the foundry business, creating chips for other companies to rival the foundry businesses of TSMC and Samsung, amongst others. Now, we know who the first two Intel Foundry customers will be – Qualcomm and Amazon.  Last night, following Intel's new process node roadmap unveiling, the company …

The post Qualcomm and Amazon to be Intel’s first foundry customers first appeared on KitGuru.]]>
A while back, Intel announced plans to get into the foundry business, creating chips for other companies to rival the foundry businesses of TSMC and Samsung, amongst others. Now, we know who the first two Intel Foundry customers will be – Qualcomm and Amazon. 

Last night, following Intel's new process node roadmap unveiling, the company announced that it will be creating chips for Qualcomm and Amazon at Intel Foundry Services. Better yet, all of the new technologies developed for Intel processors will be available to Intel Foundry Services (IFS) customers at launch, or soon after.

Qualcomm will begin having chips manufactured by Intel starting with the upcoming Intel 20A node, which is due to arrive in 2024. The new node will see the launch of Intel's upcoming RibbonFET technology, the company's first new transistor architecture since 2011.

Amazon AWS will also be utilising Intel Foundry Services, using Intel's packaging solutions, rather than manufacturing processors outright. This is just the beginning for Intel Foundry too, as the company is in talks with over 100 companies for future business.

Discuss on our Facebook page, HERE.

KitGuru Says: Intel seems to be off to a good start with its foundry business and there is a promising roadmap detailing upcoming process technologies up to 2025. 

The post Qualcomm and Amazon to be Intel’s first foundry customers first appeared on KitGuru.]]>
https://www.kitguru.net/gaming/matthew-wilson/qualcomm-and-amazon-to-be-intels-first-foundry-customers/feed/ 0
IBM announces world’s first 2nm chip technology https://www.kitguru.net/components/matthew-wilson/ibm-announces-worlds-first-2nm-chip-technology/ https://www.kitguru.net/components/matthew-wilson/ibm-announces-worlds-first-2nm-chip-technology/#respond Thu, 06 May 2021 11:14:15 +0000 https://www.kitguru.net/?p=514411 IBM has announced a new breakthrough today, unveiling the world's first chip using 2nm nanosheet technology. The new 2nm design can bring several improvements, including big leaps in performance and efficiency.

The post IBM announces world’s first 2nm chip technology first appeared on KitGuru.]]>
IBM has announced a new breakthrough today, unveiling the world's first chip using 2nm nanosheet technology. The new 2nm design can bring several improvements, including big leaps in performance and efficiency.

An IBM 2nm chip with nanosheet technology can fit up to 50 billion transistors and is projected to achieve 45 percent higher performance and 75 percent lower power usage than today's 7nm chips.


Image credit: IBM Research

IBM has outlined four areas where its 2nm chips can bring substantial benefits:

  • Quadrupling cell phone battery life, only requiring users to charge their devices every four days.
  • Slashing the carbon footprint of data centers, which account for one percent of global energy use. Changing all of their servers to 2 nm-based processors could potentially reduce that number significantly.
  • Drastically speeding up a laptop's functions, ranging from quicker processing in applications, to assisting in language translation more easily, to faster internet access.
  • Contributing to faster object detection and reaction time in autonomous vehicles like self-driving cars.

Speaking on the latest breakthrough, IBM Research SVP and Director, Dario Gil, said: “The IBM innovation reflected in this new 2 nm chip is essential to the entire semiconductor and IT industry. It is the product of IBM's approach of taking on hard tech challenges and a demonstration of how breakthroughs can result from sustained investments and a collaborative R&D ecosystem approach.”

IBM previously developed the world's first 7nm and 5nm process technologies. That trend continues with 2nm process technology now announced.

Discuss on our Facebook page, HERE.

KitGuru Says: It still remains to be seen when the first 2nm processors will hit the market. Competitors like TSMC are also currently working on 2nm process technology. 

The post IBM announces world’s first 2nm chip technology first appeared on KitGuru.]]>
https://www.kitguru.net/components/matthew-wilson/ibm-announces-worlds-first-2nm-chip-technology/feed/ 0
TSMC reportedly investing $100 billion to expand chip making capacity https://www.kitguru.net/channel/generaltech/matthew-wilson/tsmc-reportedly-investing-100-billion-to-expand-chip-making-capacity/ https://www.kitguru.net/channel/generaltech/matthew-wilson/tsmc-reportedly-investing-100-billion-to-expand-chip-making-capacity/#respond Fri, 02 Apr 2021 10:52:20 +0000 https://www.kitguru.net/?p=510434 Late last month, Intel announced plans to invest $20 billion to build new foundries to produce chips for other companies and compete with the likes of TSMC. It seems that TSMC has some major plans of its own, with the semiconductor company reportedly set to invest $100 billion in expanding and solving the current global …

The post TSMC reportedly investing $100 billion to expand chip making capacity first appeared on KitGuru.]]>
Late last month, Intel announced plans to invest $20 billion to build new foundries to produce chips for other companies and compete with the likes of TSMC. It seems that TSMC has some major plans of its own, with the semiconductor company reportedly set to invest $100 billion in expanding and solving the current global chip shortage.

According to TheEdgeMarkets, TSMC will invest $100 billion over the next three years to expand semiconductor manufacturing capacity, as well as R&D for new technologies to maintain a competitive lead. Over the last year, TSMC's fabs have been “running at over 100% utilisation”, and there are still customers wanting to pay for more production lines. With that in mind, expansion is greatly needed.

According to the report, TSMC gave the following statement to local journalists: “TSMC expects to invest US$100b over the next three years to increase capacity to support the manufacturing and R&D of advanced semiconductor technologies. TSMC is working closely with our customers to address their needs in a sustainable manner.”

TSMC creates chips for some of the biggest names in the tech industry, including the likes of AMD, Nvidia, Qualcomm and Apple. Over the past 12 months, the chip shortage has had a wide impact, affecting not just the PC hardware, mobile and game console industries, it has also had an impact on things like cars and modern household items like fridges and washing machines.

Discuss on our Facebook page, HERE.

KitGuru Says: The chip shortage has been a huge deal over the last year, but we are finally starting to see some light at the end of the tunnel thanks to initiatives like this. 

The post TSMC reportedly investing $100 billion to expand chip making capacity first appeared on KitGuru.]]>
https://www.kitguru.net/channel/generaltech/matthew-wilson/tsmc-reportedly-investing-100-billion-to-expand-chip-making-capacity/feed/ 0
Intel invests $20 billion in new foundry business to compete with the likes of TSMC and Samsung https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-invests-20-billion-in-new-foundry-business-to-compete-with-the-likes-of-tsmc-and-samsung/ https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-invests-20-billion-in-new-foundry-business-to-compete-with-the-likes-of-tsmc-and-samsung/#respond Wed, 24 Mar 2021 14:11:11 +0000 https://www.kitguru.net/?p=508992 While the announcement of 7nm Intel CPUs stole headlines last night following Intel's 'Engineering the Future' event last night, the bigger announcement is arguably Intel's decision to set up its own foundry business. Intel has used its own foundry facilities to produce chips for years now and soon, Intel will be opening up its doors to fabricate chips for other companies too.

The post Intel invests $20 billion in new foundry business to compete with the likes of TSMC and Samsung first appeared on KitGuru.]]>
While the announcement of 7nm Intel CPUs stole headlines last night following Intel's ‘Engineering the Future' event last night, the bigger announcement is arguably Intel's decision to set up its own foundry business. Intel has used its own foundry facilities to produce chips for years now and soon, Intel will be opening up its doors to fabricate chips for other companies too.

As has been well documented over the last year, the tech industry is facing a major shortage in manufacturing and fabrication availability, which has led to numerous delays and a major lack of supply. Companies like TSMC and Samsung are producing at full capacity, leaving the door open for Intel to pick up some business from companies in need of additional production lines.

Last night, during Intel Unleashed, CEO Pat Gelsinger, announced that the company will be expanding its manufacturing facilities, putting $20 billion towards the cause. That money will lead to two new fabs based in Arizona, USA. These fabs will supply chips for the US and European markets.

As part of the announcement, Intel states: “IFS will be differentiated from other foundry offerings with a combination of leading-edge process technology and packaging, committed capacity in the U.S. and Europe, and a world-class IP portfolio for customers, including x86 cores as well as ARM and RISC-V ecosystem IPs.”

Intel CEO, Pat Gelsinger, expands on this announcement, stating: “A key challenge is access to manufacturing capacity. Intel is in a unique position to rise to the occasion and meet this growing demand while ensuring a sustainable and secure supply of semiconductors for the world”.

Of course, Intel isn't just doing this to bolster a currently struggling industry – there is an awful lot of money to be made here. By Intel's estimations, the silicon manufacturing market will be worth $100 billion by 2025 and the company plans to take a significant piece of that market share by offering manufacturing services to third-parties.

Once Intel's new IFS initiative is all set up and rolling, the company aims to compete heavily against the likes of TSMC and Samsung, both of which manufacture chips for some of the biggest names in the tech industry, including Apple, Qualcomm, AMD, Nvidia and others.

KitGuru Says: Semiconductor foundries take years to build and set up, so this is very much a long-term plan. We aren't going to see supply shortages solved this year, but this will help the industry moving forward.

The post Intel invests $20 billion in new foundry business to compete with the likes of TSMC and Samsung first appeared on KitGuru.]]>
https://www.kitguru.net/channel/generaltech/matthew-wilson/intel-invests-20-billion-in-new-foundry-business-to-compete-with-the-likes-of-tsmc-and-samsung/feed/ 0
Samsung may spin-off its foundry business after losing Apple to TSMC https://www.kitguru.net/components/cpu/matthew-wilson/samsung-may-spin-off-its-foundry-business-after-losing-apple-to-tsmc/ https://www.kitguru.net/components/cpu/matthew-wilson/samsung-may-spin-off-its-foundry-business-after-losing-apple-to-tsmc/#comments Tue, 13 Dec 2016 19:24:49 +0000 http://www.kitguru.net/?p=314577 Samsung is well-regarded in the chip manufacturing business but this year, the company suffered a bit of a loss as Apple chose to go with TSMC for the creation of the iPhone 7's A10 processor. This has been quite the blow to Samsung's foundry division so going forward, Samsung may split up its chip design …

The post Samsung may spin-off its foundry business after losing Apple to TSMC first appeared on KitGuru.]]>
Samsung is well-regarded in the chip manufacturing business but this year, the company suffered a bit of a loss as Apple chose to go with TSMC for the creation of the iPhone 7's A10 processor. This has been quite the blow to Samsung's foundry division so going forward, Samsung may split up its chip design division from its manufacturing division, leaving the foundry completely separate in an effort to grow the business.

Samsung has been making chips for the iPhone for many years now, despite the continued lawsuits between Apple and Samsung. However, this year, Apple chose to go with TSMC for all orders of the iPhone 7's A10 chip and current rumours indicate that Apple won't be returning to Samsung for the A11 chip in the next iPhone. Given that Apple was one of Samsung's largest clients in this area, the company is going to need to take steps to recover.

asml_semiconductor_wafer

In response, Samsung wants to split up its manufacturing business from its design business according to sources speaking with Business Korea. This means the division designing chips for Samsung won't also be manufacturing chips for large clients, like Apple. This should help Samsung avoid competition concerns when seeking out new clients to replace Apple going forward.

It isn't all doom and gloom for Samsung's foundry either, as the company has a large deal with Qualcomm right now to co-produce the Snapdragon 835, which will be based on Samsung's 10nm process and deliver higher performance and efficiency levels than ever before.

KitGuru Says: Given the intense competition between Samsung and Apple in the smartphone market, I am surprised that the two continued to work together for so long. Still, now it looks like Samsung is in a position where it needs to shuffle some things around to attract some new clients.

The post Samsung may spin-off its foundry business after losing Apple to TSMC first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/matthew-wilson/samsung-may-spin-off-its-foundry-business-after-losing-apple-to-tsmc/feed/ 2
GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/ https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/#comments Sat, 26 Sep 2015 01:54:06 +0000 http://www.kitguru.net/?p=269516 GlobalFoundries on Friday confirmed that the first products to be made using the company’s advanced 14nm LPP [low-power plus] manufacturing technology had been taped out. The contract maker of chips did not reveal any details, but indicated that prototype chips had demonstrated “excellent” performance and yields. One of the customers, who will use the 14LPP …

The post GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology first appeared on KitGuru.]]>
GlobalFoundries on Friday confirmed that the first products to be made using the company’s advanced 14nm LPP [low-power plus] manufacturing technology had been taped out. The contract maker of chips did not reveal any details, but indicated that prototype chips had demonstrated “excellent” performance and yields. One of the customers, who will use the 14LPP is Advanced Micro Devices.

The 14LPP fabrication process developed by Samsung Foundry and licensed by GlobalFoundries shares a lot of elements with the 14nm LPE [low-power early] manufacturing technology, which has been used to produce various integrated circuits (ICs) for many months now. The 14LPP is expected to enable chip designers to create more complex system-on-chips that run at up to 10 per cent higher clock-rate and feature lower power consumption. The two process technologies share common design rules, but use different standard-cell libraries, compilers, etc.

“The performance-enhanced version of the technology (14LPP) is set for qualification in the second half of 2015, with the volume ramp beginning in early 2016,” said Jason Gorss, senior manager of corporate and technology communications at GlobalFoundries.

panasonic_chip_asic_ic_semiconductor_1

According to Mr. Gorss, the company started to tape-out products, which will be manufactured using the 14LPP a while ago. Tape-out is the final stage of the design cycle of an integrated circuit, the point at which the artwork of the IC is sent to a maker of photomasks. Once the set of photolithographic masks is completed and verified, it is directed to the contract manufacturer of the chip, which produces the first samples of the IC. If GlobalFoundries started a tape-out process, it means that samples of certain products are either in production or have been produced.

“Prototyping on test vehicles has demonstrated excellent logic and SRAM yields and performance at near 100% of target,” explained the official for GlobalFoundries.

GlobalFoundries remains on-track to begin high-volume manufacturing of commercial chips using 14nm LPP technology in 2016. The company is expected to produce a number of high-performance designs using the process. Just like in case of the 14LPE, customers of GlobalFoundries and Samsung Foundry will be able to use fabs of both producers in order to increase manufacturing volume of their chips.

samsung_semiconductor_foundry_chip_production_4

Earlier this year Advanced Micro Devices confirmed that it had taped-out its first two products to be made using FinFET manufacturing technologies. According to unofficial information, AMD will use GlobalFoundries’ 14LPP fabrication process to produce its code-named “Summit Ridge” central processing unit with up to eight “Zen” cores, which will be marketed under “FX” and “Opteron” brands late next year. AMD is expected to use Taiwan Semiconductor Manufacturing Co.’s 16nm FinFET+ (CLN16FF+) for production of its the code-named “Greenland” graphics processing unit based on the next iteration of the GCN [graphics core next] architecture.

Discuss on our Facebook page, HERE.

KitGuru Says: Thanks to the fact that there are a lot of similarities between the 14LPE and 14LPP, the latter will hardly face problems with yields. The main question is whether the two technologies will be competitive against TSMC’s 16nm FinFET compact (CLN16FFC), which has a lot of differences compared to the 16nm FinFET and the 16nm FinFET+, in the long run.

The post GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-we-started-to-tape-out-products-using-second-gen-14nm-process-technology/feed/ 8
GlobalFoundries: 14nm yields are exceeding our plans https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/ https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/#comments Fri, 25 Sep 2015 21:33:53 +0000 http://www.kitguru.net/?p=269473 GlobalFoundries, which started to manufacture chips using 14nm low-power early (14LPE) manufacturing technology several months ago, said on Friday that its yields exceed its original expectations. One of the world’s largest contract makers of semiconductors does not reveal its actual 14nm volumes, but since the chips are made for customers, they should meet demands of …

The post GlobalFoundries: 14nm yields are exceeding our plans first appeared on KitGuru.]]>
GlobalFoundries, which started to manufacture chips using 14nm low-power early (14LPE) manufacturing technology several months ago, said on Friday that its yields exceed its original expectations. One of the world’s largest contract makers of semiconductors does not reveal its actual 14nm volumes, but since the chips are made for customers, they should meet demands of the latter.

FOLLOW UP: GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology.

GlbalFoundries: 14nm yields are great

“Our 14nm FinFET ramp is exceeding plan with best-in-class yield and defect density,” said Jason Gorss, senior manager of corporate and technology communications at GlobalFoundries. “The early-access version of the technology (14LPE) was qualified in January and is well on its way to volume production, meeting yield targets on lead customer products.”

globalfoundries_semiconductor_fab1_cleanroom_space_1

Earlier this year GlobalFoundries indicated that its 14LPE yields were on par with its partner Samsung Foundry (the original developer of the 14LPE), which uses the technology to produce its Exynos 7420 system-on-chip at its fab in Austin, Texas. The SoC is used inside Samsung’s Galaxy S6, S6 Edge and S6 Edge+ smartphones. So far, Samsung has shipped tens of millions of smartphones based on the Exynos 7420 application processor, which indirectly indicates rather good yields of the 14nm process technology.

Another major client for Samsung's 14nm FinFET LPE is Apple, whose A9 system-on-chip for the iPhone 6s and the iPhone 6s Plus will be made using the fabrication process. Analysts expect Apple to sell 80 – 90 million new iPhones by the end of the year.

Working to make 14nm yields better

GlobalFoundries and Samsung are working together in a bid to improve yields and further decrease defect density of the 14nm LPE fabrication process. While the two companies do some changes in the process flow, they tend to stay close to the original and each other in order to offer their clients complete design compatibility and performance consistency.

globalfoundries_semiconductor_wafers_300mm

GlobalFoundries  claims that there is a special process in place, which resembles Intel Corp.’s “Copy Exactly” methodology. The “Copy Exactly” requires matching equipment configuration, chemical purity, process recipes and a lot of other things.

“A key part of the value proposition of our partnership with Samsung is the ability to offer true design compatibility at multiple fabs,” said Mr. Gorss. “We do this through a fab synchronization process that is very similar to the ‘Copy Exactly’ methodology. Of course, we can and do make changes to our process flow as necessary, but staying close to ‘copy exact' allows us to drive the fastest possible ramp of the technology.”

Volumes unknown

GlobalFoundries does not reveal how many wafers it processes using the 14nm fabrication technology now, but earlier this year the company indicated that a significant portion of equipment needed for commercial production of chips using 14nm FinFET process had been installed.

It is unclear what equipment GlobalFoundries uses to make 14nm chips at its Fab 8, but originally the semiconductor fabrication plant was allegedly equipped with ASML’s Twinscan NXT:1950i lithography machines. Earlier this year the company acquired new tools specifically to produce chips using newer process technologies (the list probably included lithography tools, metrology tools and a number of other things). GlobalFoundries does not reveal details about its equipment, but the best scanners it could buy in late 2014 or early 2015 were ASML’s Twinscan 1970Ci step-and-scan systems, which can process up to 250 300mm wafers per hour (up from 175wph on the NXT:1950i) and have higher precision than predecessors.

globalfoundries_cleanroom_fab8

All ASML Twinscan NXT machines use immersion lithography with ultraviolet light at 193nm wavelength. Since modern process technologies are designed for 193nm immersion lithography, semiconductor manufacturers can use all ASML Twinscan NXT machines with some tweaks (to the scanners and other equipment in the fab) to manufacture chips using the latest process technologies. Moreover, all Twinscan NXT scanners are field-upgradeable to the same performance level (but not to the same level of overlay performance) as the latest NXT:1970Ci system.

While the yields of 14LPE seem to be fine, it is unknown how many wafers using the new process technology it can process per month. Theoretically, GlobalFoundries can expand production relatively quickly by upgrading its existing equipment. However, since demands of GF’s clients are unknown, it is uncertain whether it actually needs any upgrades right now. In fact, GlobalFoundries’ main customer – Advanced Micro Devices – reportedly plans to use only more advanced 14LPP [low-power plus] process technology to make its chips sometimes next year.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like everything is good with 14nm LPE yields at GlobalFoundries and Samsung Foundry. While the Fab 8 upgrade process is not yet finished, it makes a great sense for the chipmaker to wait for ASML’s Twinscan NXT:1980Di to arrive because it can process even more wafers (275wph), thus improving productivity and profitability.

The post GlobalFoundries: 14nm yields are exceeding our plans first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-14nm-yields-are-exceeding-our-plans/feed/ 8
GlobalFoundries develops 7nm and 10nm technologies in-house https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/ https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/#comments Sat, 19 Sep 2015 22:34:15 +0000 http://www.kitguru.net/?p=268505 GlobalFoundries is working on its own 7nm and 10nm fabrication processes and it does not look like the company has plans to license technologies from the outside. The contract maker of semiconductors hopes that specialists from IBM’s microelectronics unit will help it to design world-class leading-edge manufacturing processes. GlobalFoundries, which was once manufacturing arm of …

The post GlobalFoundries develops 7nm and 10nm technologies in-house first appeared on KitGuru.]]>
GlobalFoundries is working on its own 7nm and 10nm fabrication processes and it does not look like the company has plans to license technologies from the outside. The contract maker of semiconductors hopes that specialists from IBM’s microelectronics unit will help it to design world-class leading-edge manufacturing processes.

GlobalFoundries, which was once manufacturing arm of Advanced Micro Devices, used to have problems with delivering advanced manufacturing technologies on time. Over the years, GlobalFoundries’ management did a lot to add competitive advantages to the company: it acquired Chartered Semiconductor, brought-in a lot of talent from the outside and participated in various industry-wide initiatives. While it did help to make GlobalFoundries the world’s No. 2 or No. 3 contract maker of chips, the company struggled to remain competitive with its leading-edge technologies, a hard thing to do nowadays.

globalfoundries_semiconductor_wafer_300mm_foundry_1

The company had issues with its 32nm SOI process technology, it was late with 28nm node, it cancelled 28nm FDSOI and it was going to be late-to-market with its 14nm-XM process. In a bid to catch up and potentially gain new customers, GlobalFoundries licensed 14nm LPE [low-power early] and 14nm LPP [low-power plus] technologies from Samsung Electronics. However, going forward GlobalFoundries hopes to develop its own manufacturing processes. The combined team of IBM and GF engineers is expected to deliver robust 10nm and 7nm fabrication technologies.

“We are developing our own technologies for the next nodes,” said Sanjay Jha, chief executive officer of GlobalFoundries, in an interview with EETimes web-site. “The whole point of the IBM Microelectronics business acquisition is to leverage IBM’s technologists and technology to accelerate our own development of leading-edge process technologies.”

Mr. Jha does not reveal any timeframes concerning 10nm fabrication process and any kind of targets that the company wants to hit with the manufacturing technology. Keeping in mind that Samsung Electronics and Taiwan Semiconductor Manufacturing Co. plan to start production of chips using their 10nm processes in late 2016 or early 2017, it is obvious that GlobalFoundries will be behind its rivals. In a bid to start low-volume production using 10nm manufacturing tech in late 2016 or early 2017, GF needed to deliver early process design kits (PDKs) to its customers three to six months ago. The technology is in development and no PDKs are available to key clients like Advanced Micro Devices.

globalfoundries_semiconductor_fab1_cleanroom_space_1

Mr. Jha is confident that extreme ultraviolet (EUV) lithography will not be commercially viable before 2018 or 2019, which is in line with expectations by other industry executives.

“We are not expecting EUV before 2018 or 2019,” said Mr. Jha. “We are focused on optical tools for 10nm and 7nm. As EUV stabilizes, we may use EUV for some layers. We are also using EUV to accelerate prototyping.”

Discuss on our Facebook page, HERE.

KitGuru Says: It is clear that GlobalFoundries will be behind its rivals with 10nm manufacturing technology. While GlobalFoundries will likely try to make its 10nm competitive in order not to let its main customer down, it is obvious that the joint team of IBM and GloFo will focus on 7nm fabrication process. Keeping in mind that IBM experimented with a number of promising technologies and that intellectual property is now available to GlobalFoundries, we can expect very interesting results. It is possible that at 7nm the foundry industry will have three viable competitors (or four, if Intel is considered a foundry). The main question is whether GlobalFoundries will have enough money to develop that state-of-the-art process technology…

The post GlobalFoundries develops 7nm and 10nm technologies in-house first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/globalfoundries-designs-7nm-and-10nm-process-technologies-in-house/feed/ 15
Chinese investors eye GlobalFoundries to get new process technologies https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/ https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/#comments Tue, 01 Sep 2015 18:31:30 +0000 http://www.kitguru.net/?p=265822 China's National Integrated Circuit Industry Investment Fund has reportedly approached GlobalFoundries about possible acquisition or cooperation. Chinese investors intend to take over GlobalFoundries in order to significantly improve Semiconductor Manufacturing International Corporation (SMIC) and make it one of the world’s largest contract makers of semiconductors. China-based Hua Capital Management, which manages the national semiconductor industry …

The post Chinese investors eye GlobalFoundries to get new process technologies first appeared on KitGuru.]]>
China's National Integrated Circuit Industry Investment Fund has reportedly approached GlobalFoundries about possible acquisition or cooperation. Chinese investors intend to take over GlobalFoundries in order to significantly improve Semiconductor Manufacturing International Corporation (SMIC) and make it one of the world’s largest contract makers of semiconductors.

China-based Hua Capital Management, which manages the national semiconductor industry investment fund, has approached Advanced Technology Investment (ATIC), the owner of GlobalFoundries, for possible acquisition or cooperation, reports DigiTimes web-site. Even though GlobalFoundries is growing and is currently one of the world’s leading foundries, analysts say that the company hardly makes a lot of money for Mubadala, the owner of ATIC. As a result, the company is reportedly considering its strategic options.

globalfoundries_semiconductor_wafers_300mm

China's National Integrated Circuit Industry Investment Fund was founded in order to boost semiconductor industry in the country. The potential acquisition of GlobalFoundries will allow the fund to significantly improve SMIC, which is already one of the largest contract makers of semiconductors. In particular, this will allow SMIC to start making chips using 14nm FinFET process technology earlier than expected. At present SMIC intends to initiate high-volume production using 14nm tech in 2020, but a takeover of GlobalFoundries will grant SMIC access to advanced fabrication processes considerably earlier.

GlobalFoundries is using Samsung’s 14nm FinFET manufacturing technologies right now, but is developing its own 10nm fabrication process. The potential merger with SMIC will make the latter one of the most competitive foundries in the world. The new company will be significantly bigger than Samsung Foundry and United Microelectronics Corp. in terms of revenue and production capacities. However, the new company will still be significantly smaller than Taiwan Semiconductor Manufacturing Co.

globalfoundries_semiconductor_wafer_300mm_foundry_1

Since GlobalFoundries owns IBM’s semiconductor business, it is highly likely that before selling the company ATIC will have to negotiate with the U.S. government, which could block the deal in order not to export leading-edge process technologies to China.

GlobalFoundries, SMIC, ATIC, Mubadala, China's National Integrated Circuit Industry Investment Fund and Hua Capital Management did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: When Mubadala established ATIC in 2008, the investment fund planned to develop semiconductor manufacturing industry in Abu Dhabi. GlobalFoundries was supposed to be a primary vehicle for developing the ecosystem in the emirate. However, it does not look like GlobalFoundries has helped ATIC to build the chip industry in Abu Dhabi. Keeping in mind that GlobalFoundries is barely profitable, it is possible that ATIC may be considering to sell it. However, this could be a hard thing to do since GlobalFoundries may be strategically important for the U.S. and Germany, where it owns leading-edge manufacturing facilities.

The post Chinese investors eye GlobalFoundries to get new process technologies first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/chinese-investors-eye-globalfoundries-in-order-to-get-latest-process-technologies/feed/ 6
Samsung adds 10nm FinFET tech to roadmap, mass production in 2016 https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-officially-adds-10nm-finfet-tech-to-roadmap-mass-production-in-late-2016/ https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-officially-adds-10nm-finfet-tech-to-roadmap-mass-production-in-late-2016/#comments Fri, 24 Jul 2015 02:20:48 +0000 http://www.kitguru.net/?p=260566 Samsung Foundry this week said that it had officially added its 10nm FinFET fabrication technology into its foundry roadmap. The company also clarified that it will start mass production of chips using its third-generation manufacturing process with FinFET transistors in late 2016, which means that the first 16nm commercial chips from Samsung will hit the …

The post Samsung adds 10nm FinFET tech to roadmap, mass production in 2016 first appeared on KitGuru.]]>
Samsung Foundry this week said that it had officially added its 10nm FinFET fabrication technology into its foundry roadmap. The company also clarified that it will start mass production of chips using its third-generation manufacturing process with FinFET transistors in late 2016, which means that the first 16nm commercial chips from Samsung will hit the market in 2017.

“We have a full PDK [process development kit] and silicon-verified IP available, and are, currently, running MPWs [multi-project wafer] now for our lead customers,” said Kelvin Low, senior director of marketing at Samsung Foundry. “With mass production scheduled from late 2016 we are excited about this next phase of our foundry business. Early designs activities have already sparked some interesting discussions.”

asml_semiconductor_wafer

Samsung’s 10nm fabrication process is an all-new technology that features its own back-end-of-line interconnect flow in addition to all new front-end-of-line features, providing performance, power and area scaling. It is expected that Samsung’s 10nm FinFET sports considerably smaller transistor fin, transistor gate and interconnect pitches compared to the company’s 14nm process technologies. Shrinking geometry of all pitches results in higher performance, increased transistor density and lower per-transistor costs, something that will be a huge benefit for many companies who use Samsung Foundry’s services.

Earlier this year Samsung already demonstrated a 300mm wafer processed using its 10nm technology. By now the company has finalized the vast majority of specifications of the process as well as process development kits.

Samsung expects to start trial production using 10nm FinFET manufacturing technology in late 2015. The company will be able to start volume production using the process tech in late 2016.

[yframe url='http://www.youtube.com/watch?v=6XtBphQvFFg']

Previously it was expected that Samsung would use its 10nm fabrication technology to produce its next-generation Exynos application processor for Samsung Galaxy S7 smartphones. While the company may try to do this, it is more likely that the next-gen system-on-chip for the flagship handset will be produced using the second-generation 14nm FinFET (14nm low-power plus, 14LPP) process.

Discuss on our Facebook page, HERE.

KitGuru Says: Looks like the race for 10nm has officially begun. TSMC and Samsung are ahead of GlobalFoundries and UMC, which is not really surprising as the two companies are competing for one customer, Apple. But the real question is whose manufacturing process will be better and who will be able to produce more leading-edge chips.

The post Samsung adds 10nm FinFET tech to roadmap, mass production in 2016 first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/samsung-officially-adds-10nm-finfet-tech-to-roadmap-mass-production-in-late-2016/feed/ 5
TSMC plans to use EUV lithography for 5nm process technology https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmc-plans-to-use-euv-lithography-for-5nm-process-technology/ https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmc-plans-to-use-euv-lithography-for-5nm-process-technology/#respond Tue, 21 Jul 2015 20:08:02 +0000 http://www.kitguru.net/?p=260076 For quite a while, Taiwan Semiconductor Manufacturing Co. has been talking about using extreme ultraviolet (EUV) lithography for its advanced 10nm process technology. However, since appropriate scanners are not ready and will not be for at least a couple of years, the company will be unable to take advantage of EUV for a long time. …

The post TSMC plans to use EUV lithography for 5nm process technology first appeared on KitGuru.]]>
For quite a while, Taiwan Semiconductor Manufacturing Co. has been talking about using extreme ultraviolet (EUV) lithography for its advanced 10nm process technology. However, since appropriate scanners are not ready and will not be for at least a couple of years, the company will be unable to take advantage of EUV for a long time. At present, TSMC intends to use EUV only for its 5nm fabrication process, which is due in four to five years from now.

Extreme ultraviolet lithography scanners feature lasers with 13.5nm wavelength that allow to “draw” finer features of chips and eliminate many challenging technologies that have to be used today in conjunction with current-gen lithography tools. In particular, EUV will eliminate need for multi-patterning, will shrink cycle times and will help to improve yields of chips made using leading-edge process technologies.

tsmc_wafer_semiconductor_chip_300mm_fab

Right now EUV scanners are not ready for commercial production of chips and ASML, a leading maker of semiconductor production equipment, is working closely with TSMC and other leading makers of chips to prepare the new devices. TSMC plans to try EUV with its 7nm fabrication process in 2017 – 2018 and then use it commercially to make chips using 5nm manufacturing technology.

“As you can see in our 7nm development schedule that probably will not using EUV,” said Mark Liu, president and co-CEO of TSMC, during the company’s earnings conference call with investors and financial analysts. “But we are planning to exercise EUV using the 7nm technology and currently we are planning to use EUV at 5nm. But of course it does depend certain development criteria, milestones to be reached.”

tsmc_wafer_semiconductor_chip_300mm_fab_1

At present TSMC expects to start volume production of chips using 7nm process technology sometimes in the first half of 2018. Considering how aggressively the company intends to introduce new manufacturing processes going forward, it is possible that it will start trial production using 5nm EUV process technology in 2018 – 2019 timeframe.

“[EUV] has a good benefit from our assessment […] on the 5nm that reduce[s] a lot of masking layers and increase[s] a lot of better control for the 5nm,” said Mr. Liu.

Intel Corp. plans to use EUV tools for production using 7nm fabrication process towards the end of the decade.

Discuss on our Facebook page, HERE.

KitGuru Says: Based on the comments recently made by Intel and TSMC, it is obvious that extreme ultraviolet lithography will only become commercially viable in 2019 – 2020. Until then, chipmakers will have to deal with multi-patterning and all the associated problems, which is not a good news for smaller chip designers.

The post TSMC plans to use EUV lithography for 5nm process technology first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmc-plans-to-use-euv-lithography-for-5nm-process-technology/feed/ 0
TSMC’s 7nm tech will use 10nm elements, production starts in 2018 https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmcs-7nm-tech-will-use-10nm-elements-on-track-for-production-in-2018/ https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmcs-7nm-tech-will-use-10nm-elements-on-track-for-production-in-2018/#comments Sat, 18 Jul 2015 16:18:39 +0000 http://www.kitguru.net/?p=259673 Taiwan Semiconductor Manufacturing Co. this week disclosed some additional details about its 7nm fabrication process. TSMC reiterated plans to start qualifications of the technology in early 2017 and then initiate volume production in 2018. The 7nm process will use certain elements derived from the 10nm fabrication process, which will help the company and its clients …

The post TSMC’s 7nm tech will use 10nm elements, production starts in 2018 first appeared on KitGuru.]]>
Taiwan Semiconductor Manufacturing Co. this week disclosed some additional details about its 7nm fabrication process. TSMC reiterated plans to start qualifications of the technology in early 2017 and then initiate volume production in 2018. The 7nm process will use certain elements derived from the 10nm fabrication process, which will help the company and its clients in terms of yields and transition, but with trade-offs.

7 in 18

“The development activity on our 7nm is also ongoing with full steam,” said said Mark Liu, president and co-CEO of TSMC, during the company’s earnings conference call with investors and financial analysts. “We have a parallel team working on that program. We target 7nm technology qualification in the first quarter 2017, only five quarters after 10nm.”

tsmc_fab_15_fab15_semiconductor_chip

Typically, it takes a year for foundries to start volume production after they initiate risk production using a particular process technology. While the time-to-market of TSMC’s 7nm fabrication process seems to be rather phenomenal — first half of 2018, it should be noted that the tech will not be something completely new, designed from scratch. According to Mr. Liu, the 7nm manufacturing technology (which official name remains unknown) will have a “relationship” with 10nm similar to that between TSMC’s 16nm and 20nm processes.

Relationship

The high-ranking executive did not elaborate about the nature of the “relationship”, but said that it would allow chip developers to keep using the same chip manufacturing process tools  (e.g., defect inspection, review, metrology, lithography modeling tools, etc.) as with the 10nm process. Moreover, experience that TSMC will have with its 10nm process tech will help it to improve yields at 7nm.

“For 7nm, similar to our 20nm and 16nm relationship, we are developing 7nm to be able to leverage the process tool compatibility and maturity from 10nm volume production,” said Mr. Liu.

tsmc_wafer_semiconductor_chip_300mm_fab_4

TSMC’s 16nm manufacturing technologies use planar back-end-of-line (BEOL) interconnect flow originally designed for the company’s 20nm process. As a result, die sizes of chips produced at 16nm node are not smaller than those of ICs [integrated circuits] made using 20nm tech. While TSMC’s 16nm processes help chip developers to greatly improve performance vs. 20nm technology, relatively low transistor density of the processes make them rather expensive since any substantial increase of transistor budget (vs. real or hypothetical 20nm device) directly affects costs. On the other hand, re-use of BEOL ensures relatively high yields already early in the life-cycle of the tech.

Scaling under question

TSMC did not reveal any actual details about its 7nm technology. Officially, the company promises that the tech will enable frequency increase and “chip scaling”, but if it re-uses BEOL originally designed for 10nm process, geometry scaling cannot be significant. On the other hand, since 10nm fabrication process does not provide a really significant performance improvement compared to 16nm FinFET+ technology, 7nm is just what the doctor ordered for high-performance designs.

“With further transistor speed enhancement and chip scaling from 10nm, our customer can plan their tape-outs using the latest and the greatest technology available at the time when they launch their most competitive products,” said the president and co-CEO of the company.

tsmc_fab_14_fab14_semiconductor_chip_inside

New realities

Back in the days TSMC and UMC introduced optical shrinks (or half-nodes) of their process technologies that used similar libraries, EDA tools and manufacturing equipment, but featured smaller sizes of elements because foundries tweaked optical components of their scanners to “draw” finer “lines”. This helped to reduce costs of chips, slightly increase their clock-rate potentials and/or lower power consumptions without using all-new process technologies and necessity to redesign chips from scratch.

Nowadays, optical shrinks are no longer used. However, it is possible to use similar flows across multiple generations of fabrication technologies. TSMC, Samsung and GlobalFoundries re-use 20nm BEOL with their 16nm and 14nm manufacturing processes. This helps to avoid a number of problems and bring new technologies to market faster. However, it also means that “truly” new production processes that scale everything – transistor density, frequencies, power consumption, etc. – do not emerge very often nowadays.

tsmc_wafer_semiconductor_chip_300mm_fab_3

In fact, Intel remains the only company, which develops new manufacturing technologies with the aim to improve every aspect of its chips. Unfortunately, it takes a long time to design such leading-edge processes. Based on various comments made by Intel recently, the chip giant intends to consider using new materials, new transistor structures and extreme ultraviolet (EUV) lithography for its 7nm fabrication technology.

Discuss on our Facebook page, HERE.

KitGuru Says: With “hybrid” approach to process technology development, the number of nanometres in the name of the process just becomes irrelevant. While this has been true for quite a while, in the coming years it will just get too evident.

The post TSMC’s 7nm tech will use 10nm elements, production starts in 2018 first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/tsmcs-7nm-tech-will-use-10nm-elements-on-track-for-production-in-2018/feed/ 3
TSMC: 10nm is on-track for volume production start in Q4 2016 https://www.kitguru.net/components/anton-shilov/tsmc-10nm-is-on-track-for-volume-production-start-in-q4-2016/ https://www.kitguru.net/components/anton-shilov/tsmc-10nm-is-on-track-for-volume-production-start-in-q4-2016/#comments Sat, 18 Jul 2015 00:49:05 +0000 http://www.kitguru.net/?p=259641 Taiwan Semiconductor Manufacturing Co. this week denied any delays of risk or mass production of chips using its 10nm process technology. The company intends to start volume production of semiconductors at 10nm node late next year, which means that its clients will receive their first 10nm chips in the first quarter of 2017. “The recent progress …

The post TSMC: 10nm is on-track for volume production start in Q4 2016 first appeared on KitGuru.]]>
Taiwan Semiconductor Manufacturing Co. this week denied any delays of risk or mass production of chips using its 10nm process technology. The company intends to start volume production of semiconductors at 10nm node late next year, which means that its clients will receive their first 10nm chips in the first quarter of 2017.

“The recent progress of our 10 nanometer technology development is very encouraging and on track with our plan,” said Mark Liu, president and co-CEO of TSMC, during the company’s earnings conference call with investors and financial analysts. “Technology risk start qualification is targeted at the end of this year, followed by many customer’s product qualifications. Our volume production is planned to start from the end of 2016.”

It should be noted that the start of production does not mean commercial shipments. Production cycle of an advanced chip made using 10nm FinFET process technology will likely exceed 100 days from wafer start to chip delivery, which means that the company will be able to ship the first batch to its customer(s) only in Q1 2017. TSMC will start high-volume production of chips using its 10nm process only in late Q1 or sometime in Q2 2017.

“We ramp up 10nm in the Q4 2016 next year, but the real product shipment will be in Q1 2017,” said C.C. Wei, president and co-CEO of TSMC.

tsmc_semiconductor_fab14_production_4

TSMC discloses different target characteristics of its 10nm FinFET (CLN10FF) manufacturing technology on different occasions, which indicates that they may not be finalized.

At present, the contract maker of semiconductors believes that its 10nm FinFET (CLN10FF) fabrication process will have 110 – 120 per cent higher transistor density compared to its 16nm FinFET+ (CLN16FF+) process tech, 15 per cent higher frequency potential at the same power and 35 per cent lower power consumption at the same frequency and complexity. Previously the company disclosed more optimistic expectations regarding clock-rates and power.

tsmc_semiconductor_fab15_1

With 10nm process technology, TSMC obviously concentrated mostly on increasing transistor density so that to decrease per-transistor costs of ICs made using the technology. Since TSMC’s 16nm processes rely on back-end-of-line interconnect flow originally developed for 20nm process, chips produced at 16nm node are not smaller than ICs [integrated circuits] made using 20nm tech. For many fabless semiconductor companies TSMC’s 16nm manufacturing technologies are too expensive because of high per-transistor costs as well as extreme design costs associated with FinFETs in general.

Performance improvements of TSMC’s 10nm fabrication tech compared to the company’s 16nm FinFET+ are not really impressive. In fact, the CLN16FF+ has similar advantages – up to 15 per cent higher clock-rate or up to 30 per cent lower power consumption – over the CLN16FF.

Discuss on our Facebook page, HERE.

KitGuru Says: While TSMC may start volume production of 10nm chips a little earlier than Intel, its CLN10FF fabrication technology will not help to significantly decrease power consumption or increase frequencies of processors. On the other hand, TSMC may introduce a better version of its 10nm manufacturing process later…

The post TSMC: 10nm is on-track for volume production start in Q4 2016 first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/tsmc-10nm-is-on-track-for-volume-production-start-in-q4-2016/feed/ 2
Intel wants to use EUV lithography for 7nm process technology https://www.kitguru.net/components/cpu/anton-shilov/intel-wants-to-use-euv-lithography-for-7nm-process-technology/ https://www.kitguru.net/components/cpu/anton-shilov/intel-wants-to-use-euv-lithography-for-7nm-process-technology/#comments Thu, 16 Jul 2015 20:48:21 +0000 http://www.kitguru.net/?p=259382 Intel Corp. has implied a number of times that it did not need to use extreme ultraviolet lithography for its 7nm manufacturing process. However, after facing problems with multi-patterning methods at 14nm and 10nm fabrication technologies, it seems that the company has changed its mind. This week Intel said that it is exploring possibilities to …

The post Intel wants to use EUV lithography for 7nm process technology first appeared on KitGuru.]]>
Intel Corp. has implied a number of times that it did not need to use extreme ultraviolet lithography for its 7nm manufacturing process. However, after facing problems with multi-patterning methods at 14nm and 10nm fabrication technologies, it seems that the company has changed its mind. This week Intel said that it is exploring possibilities to use EUV at 7nm. Unfortunately, it is still unclear whether appropriate tools will be ready by the time Intel needs them.

“At 7nm, we are trying to bring in EUV,” said Stacy Smith, chief financial officer of Intel, during the company’s quarterly conference call with investors and financial analysts. “Depending on the health of that [technology], we could be at two years, we could be at 2.5 years [with 10nm fabrication process].”

Extreme ultraviolet lithography is an advanced patterning technology that allows semiconductor makers to keep scaling down feature sizes of chips. Thanks to 13.5nm wavelength of EUV lasers, it will be possible to “draw” finer elements of chips without using difficult multiple-patterning techniques and implementing additional metal layers that complicate manufacturing technology and make it more expensive. EUV is also expected to bring significant benefits in terms of yield and cycle time.

intel_ireland_semiconductor_chip_fab_300mm_wafer_2

Intel has been using various forms of multiple-patterning, a semiconductor manufacturing technology that allows to enhance feature density by drawing multiple lines on the same photoresist layer using different photomasks, since its 65nm process technology. While in general usage of multi-patterning has not been a problem for Intel, things got very complicated at 14nm, when the number of mask steps increased. In a bid to ensure that it can produce chips using 10nm fabrication technology with high yields and in high volume, Intel decided to postpone the roll-out of appropriate chips by one year to tune the manufacturing process and its designs. Some believe that Intel plans to use quintuple-patterning for its 10nm tech, which is extremely complex and expensive.

Because of extreme difficulties it faces with modern process technologies, Intel indicated that from now on it will produce three generations of chips using the same process technology instead of two. The company said that it would like to get back to two-year cycle time and that it could be possible with EUV lithography.

intel_fab32_foup_semiconductor

While EUV scanners have existed for years, their productivity is too low for commercial production. Experimental ASML NXE:3300B EUV scanners are capable of processing 500 wafers per 24 hours. However, for high-volume manufacturing wafer scanners should process 1500+ wafers per 24 hours. It is still unclear when EUV scanners from ASML be able to hit necessary production capabilities.

“We will take a look at what is the maturity of EUV, what is the maturity of the material science changes that are occurring, what is the complexity of the product roadmap that we are adding, and make that [cycle-related] adjustment in the future,” said Brian Krzanich, chief executive officer of Intel.

Discuss on our Facebook page, HERE.

KitGuru Says: Since it is believed that Intel has ordered 15 EUV scanners from ASML earlier this year, it looks like there is a plan to start using EUV at 7nm several years after 10nm introduction in 2017. Depending on capabilities of the tools, Intel may start making 7nm chips in 2019 or 2020.

The post Intel wants to use EUV lithography for 7nm process technology first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/intel-wants-to-use-euv-lithography-for-7nm-process-technology/feed/ 2
GlobalFoundries: We are producing 14nm chips for our customers, yields on-track https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/ https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/#comments Thu, 16 Jul 2015 01:49:28 +0000 http://www.kitguru.net/?p=259254 GlobalFoundries on Wednesday confirmed that it is had initiated high-volume production of chips for its customers using 14nm FinFET (14LPE) process technology. The company claims that yields of its 14nm semiconductors are comparable to yields at Samsung Foundry, the company that developed the 14LPE. “Our 14nm ramp is right on track and our yields are …

The post GlobalFoundries: We are producing 14nm chips for our customers, yields on-track first appeared on KitGuru.]]>
GlobalFoundries on Wednesday confirmed that it is had initiated high-volume production of chips for its customers using 14nm FinFET (14LPE) process technology. The company claims that yields of its 14nm semiconductors are comparable to yields at Samsung Foundry, the company that developed the 14LPE.

“Our 14nm ramp is right on track and our yields are on par with our partner Samsung,” said Jason Gorss, a spokesman for GlobalFoundries.

GlobalFoundries does not disclose how many wafers it can start to process per month using the 14nm LPE [low-power early] manufacturing technology. However, the company indicates that a significant portion of equipment needed for commercial production of chips using 14nm FinFET process has already been installed.

globalfoundries_semiconductor_wafers_300mm

Samsung Electronics began high-volume production of its 14nm Exynos system-on-chips for smartphones using 14LPE process technology in late 2014. The company uses the processors inside its Galaxy S6 smartphones, which indicates that yields are high-enough to make production commercially viable. If GlobalFoundries’ 14nm yields on par with Samsung, it means that they are generally rather good.

GlobalFoundries licensed Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) fabrication processes in 2014. The manufacturing technologies feature FinFET transistors and rely on back-end-of-line (BEOL) interconnects of a 20nm node. Usage of 14nm FinFET transistors allows to increase performance of chips [compared to 20nm chips] by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity. Later on GlobalFoundries will start to produce more advanced chips using 14nm LPP [low-power plus] fabrication process that is designed to enable additional performance amid moderate power consumption.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like the first batch of commercial 14nm chips has already left GlobalFoundries. Hence, if you bought a Samsung Galaxy S6 recently, the chances are that there is a GlobalFoundries-made chip inside it.

The post GlobalFoundries: We are producing 14nm chips for our customers, yields on-track first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/globalfoundries-we-are-producing-14nm-chips-for-our-customers-yields-on-track/feed/ 5
TSMC rumoured to delay 10nm risk production, actual chips due in 2017 https://www.kitguru.net/components/cpu/anton-shilov/tsmc-rumoured-to-delay-10nm-risk-production-actual-chips-due-in-2017/ https://www.kitguru.net/components/cpu/anton-shilov/tsmc-rumoured-to-delay-10nm-risk-production-actual-chips-due-in-2017/#comments Sat, 11 Jul 2015 19:02:16 +0000 http://www.kitguru.net/?p=258589 If the semiconductor market rumours are correct, then Intel Corp. might not be the only chipmaker, which plans to delay mass production of chips using 10nm manufacturing process. Apparently, Taiwan Semiconductor Manufacturing Co. postpones risk production using 10nm fabrication process by two quarters. “TSMC will start risk production in its 10nm processing lines in the …

The post TSMC rumoured to delay 10nm risk production, actual chips due in 2017 first appeared on KitGuru.]]>
If the semiconductor market rumours are correct, then Intel Corp. might not be the only chipmaker, which plans to delay mass production of chips using 10nm manufacturing process. Apparently, Taiwan Semiconductor Manufacturing Co. postpones risk production using 10nm fabrication process by two quarters.

“TSMC will start risk production in its 10nm processing lines in the second quarter of next year and mass production as early as the second half of next year, reports Business Korea.

TSMC officially said that it planned to start risk production of chips using 10nm process technology in the fourth quarter of 2015. Typically, it takes about a year to start mass production of semiconductors after the beginning of risk production. As a result, delay of risk production essentially means postponement of mass production.

If the information about the delay of 10nm risk production is correct, it means that the company will only start to produce 10nm chips in mid-2017, which may be a bad news for various fabless chip designers, including Apple, Nvidia Corp. and Xilinx.

tsmc_wafer_semiconductor_chip_300mm_fab

The delay may be a bad news for Apple, which introduces new iPhones in September and new iPads in October. In a bid to launch new products in time, Apple needs to start mass production of new system-on-chips in March or April. Production cycle for 10nm FinFET chips is over 90 days. Therefore, if TSMC starts high-volume production of 10nm ICs in June ‘17, it will not be able to meet Apple’s requirements for new iPhones. Meanwhile, Apple may sell around 100 million iPhones in Q4 2017, which means that it will need to get 100 million system-on-chips for them. It is unclear whether Samsung Foundry can produce 100 million SoCs using a leading-edge process tech for Apple.

TSMC’s 10nm manufacturing process will have 110 per cent higher logic density compared to its 16nm FinFET+ (CLN16FF+) process tech, 20 per cent higher clock-rate potential at the same power and 40 per cent lower power consumption at the same frequency.

TSMC did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like 10nm is a tough nut to crack. Still, consider the news-story with a grain of salt since the information comes from an unofficial source.

The post TSMC rumoured to delay 10nm risk production, actual chips due in 2017 first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/tsmc-rumoured-to-delay-10nm-risk-production-actual-chips-due-in-2017/feed/ 1
Intel’s ‘Kaby Lake’ processors will be released in late 2016 – report https://www.kitguru.net/components/cpu/anton-shilov/intels-kaby-lake-processors-will-be-released-in-late-2016-report/ https://www.kitguru.net/components/cpu/anton-shilov/intels-kaby-lake-processors-will-be-released-in-late-2016-report/#comments Tue, 07 Jul 2015 20:52:48 +0000 http://www.kitguru.net/?p=257908 Intel Corp.’s “Kaby Lake” central processing units will be released exactly a year after the company’s “Skylake” chips due this August and September. The introduction of chips in late third quarter means that the company will further postpone the roll-out of microprocessors made using 10nm fabrication process. As reported, Intel’s “Kaby Lake” processors will replace …

The post Intel’s ‘Kaby Lake’ processors will be released in late 2016 – report first appeared on KitGuru.]]>
Intel Corp.’s “Kaby Lake” central processing units will be released exactly a year after the company’s “Skylake” chips due this August and September. The introduction of chips in late third quarter means that the company will further postpone the roll-out of microprocessors made using 10nm fabrication process.

As reported, Intel’s “Kaby Lake” processors will replace the company’s “Cannon Lake” central processing units next year and will succeed its “Skylake” products. The chips will be build using 14nm manufacturing technology and will likely resemble “Skylake” both in terms of design and in terms of micro-architecture. It is unknown whether the new CPUs will support any new instructions, such as AVX-512 (AVX 3.2) or any other significant improvements.

Earlier it was believed that the “Kaby Lake” was a temporary solution in order to refresh Intel’s product lineup before all-new 10nm “Cannonlake” chips hit the market, which is something that happened to “Broadwell”. It now appears that the “Kaby Lake” is a product family that will be Intel’s primary product lineup for a long time, according to DigiTimes. The report claims that Intel will start to roll-out the consumer versions of “Kaby Lake” chips in September, 2016, and will conclude the roll-out with high-end enterprise-class “Kaby Lake” processors in early 2017. Such launch schedule indicates that the world’s No. 1 maker of microprocessors will not release its 10nm chips until sometimes in 2017.

intel_core_pentium_devil_s_canyon_lga1150_haswell

Based on what is currently known about the new microprocessors, “Kaby Lake” chips feature two or four cores, a new generation integrated graphics engine, a dual-channel memory controller and up to 256MB of on-package cache to speed-up graphics workloads. Intel’s “Kaby Lake” processors for desktops will retain LGA1151 form-factor and will be drop-in compatible with “Skylake” infrastructure and motherboards powered by Intel’s 100-series chipsets.

Different versions of “Kaby Lake” processors – “Kaby Lake-S”, “Kaby Lake-H”, “Kaby Lake-U” and “Kaby Lake-Y” – will address various segments of the market, including mobile and desktop clients. The CPUs will have thermal design power ranging from 4.5W to 91W.

Intel did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: Looks like Intel’s “tick-tock” model, where “tick” represents a die shrink and a new process technology, whereas “tock” represents a new microarchitecture, got broken. With “Haswell”, “Hawell Refresh”, “Broadwell”, “Skylake” and “Kaby Lake” it now more resembles something like “tock-tock-tick-tock-tock”. Keeping in mind that a modern process technology costs $1.5 billion to develop, whereas a new leading-edge fab can cost $6 – $7 billion to build, it is not surprising that even Intel is not as aggressive as it used to be with its transitions. However, the real question is not whether Intel retains its process technology leadership at 10nm and/or beyond. The real question is whether companies like Samsung Electronics or Taiwan Semiconductor Manufacturing Co. can convert their manufacturing technology advantages into real-world benefits for products they produce for their clients.

The post Intel’s ‘Kaby Lake’ processors will be released in late 2016 – report first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/intels-kaby-lake-processors-will-be-released-in-late-2016-report/feed/ 1
TSMC builds first 10nm validation chip with quad-core Cortex-A57 https://www.kitguru.net/components/anton-shilov/tsmc-builds-first-10nm-validation-chips-with-quad-core-arm-cortex-a57/ https://www.kitguru.net/components/anton-shilov/tsmc-builds-first-10nm-validation-chips-with-quad-core-arm-cortex-a57/#comments Sat, 04 Jul 2015 12:39:32 +0000 http://www.kitguru.net/?p=257461 Taiwan Semiconductor Manufacturing Co. has announced that it had produced the first verification chips for its 10nm manufacturing technology. The world’s largest contract maker of semiconductors plans to start risk production using 10nm fabrication process late this year and to initiate high-volume manufacturing in late 2016 or in 2017. At the 52nd Design Automation Conference …

The post TSMC builds first 10nm validation chip with quad-core Cortex-A57 first appeared on KitGuru.]]>
Taiwan Semiconductor Manufacturing Co. has announced that it had produced the first verification chips for its 10nm manufacturing technology. The world’s largest contract maker of semiconductors plans to start risk production using 10nm fabrication process late this year and to initiate high-volume manufacturing in late 2016 or in 2017.

At the 52nd Design Automation Conference in San Francisco, California, TSMC announced that it had successfully taped out and produced its first “product-like” validation vehicle for 10nm manufacturing technology, reports Nikkei BP. Earlier this year the company demonstrated a 300mm wafer processed using its 10nm tech containing SRAM memory. TSMC did not reveal when it produced the validation IC [integrated circuit], but since the symposium took place early last month, it is likely that TSMC got the chip in April or May.

tsmc_10nm_tape_out_1

Validation vehicles are needed to make sure that the fabrication process, electronic design automation (EDA) software, interconnect flows, intellectual property and actual building blocks of chips work fine and can be used to design and produce commercial products. Validation vehicles may or may not feature complex chip designs, but they usually contain critical elements of chips. TSMC’s 10nm test IC features a quad-core ARM Cortex-A57 module, which is a clear indicator that the company’s 10nm FinFET (CLN10FF) process technology is ready for design of advanced system-on-chips. TSMC did not reveal any details about its validation vehicle or clock-rate potential of actual SoCs.

tsmc_10nm_tape_out_2

TSMC unveiled the first details regarding its 10nm fabrication technology earlier this year. The company’s 10nm manufacturing process will have 110 per cent higher logic density compared to its 16nm FinFET+ (CLN16FF+) process tech, 20 per cent higher clock-rate potential at the same power and 40 per cent lower power consumption at the same frequency.

Increased transistor density means that per-transistor costs of 10nm chips will be lower than per-transistor costs of 16nm products. Nonetheless, since design of chips with FinFET transistors is generally very expensive and starts at around 80 million for a mainstream SoC, many smaller companies will be unable to utilize either 10nm or 16nm.

tsmc_semiconductor_fab15_1

TSMC started to construct its 10nm pilot line at its fab 15 phase 5 (pictured, located in Hsinchu Science Park, Taiwan) in June, according to media reports. The pilot line, which will cost TSMC over a billion of dollars, will take several months to complete and then TSMC will start trial production of actual chip designs in late 2015. In Q2 2016 the company is expected to start construction of  a brand new fab, which will be dedicated to 10nm manufacturing.

tsmc_semiconductor_fab14

It is interesting to note that TSMC still has plans to introduce a version of 10nm fabrication process that will use extreme ultraviolet (EUV) lithography production tools. Thanks to 13.5nm wavelength of EUV lasers, it will be possible to “draw” finer elements of chips without using tricky multiple-patterning techniques and implementing additional metal layers that complicate production process and make it more expensive. EUV also promises to bring significant benefits in terms of yield and cycle time. Since EUV will eliminate need for multi-patterning during production, design process of chips will get a bit simpler, which will let smaller companies to take advantage of ultra-thin FinFET process technologies.

tsmc_wafer_semiconductor_chip_300mm_fab

Samsung Technology has also demonstrated the first 300mm wafers processed using its 10nm manufacturing technology. The company hopes to start high-volume production of semiconductors using 10nm fabrication process in 2016.

Intel Corp. is expected to reveal more details regarding its 10nm plans later this year. Unofficial information points to the fact that the company will not start mass production of its 10nm chips before late 2016. Many believe that Intel will ramp 10nm production only in 2017.

GlobalFoundries has been developing its 10nm fabrication process in-house for a while. Since recently the company completed acquisition of IBM's microelectronics business, it is expected that its 10nm process will rely on technologies developed by engineers from both companies.

Discuss on our Facebook page, HERE.

KitGuru Says: TSMC is clearly on-track for risk production of chips using 10nm technology in late 2015, which means that if everything goes well and yields of actual ICs are fine, we will see the first commercial 10nm system-on-chips in 2017. But will the yields and costs be fine?

The post TSMC builds first 10nm validation chip with quad-core Cortex-A57 first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/tsmc-builds-first-10nm-validation-chips-with-quad-core-arm-cortex-a57/feed/ 2
U.S. clears acquisition of IBM’s chip unit by GlobalFoundries https://www.kitguru.net/components/anton-shilov/u-s-government-clears-acquisition-of-ibms-chip-unit-by-globalfoundries/ https://www.kitguru.net/components/anton-shilov/u-s-government-clears-acquisition-of-ibms-chip-unit-by-globalfoundries/#comments Wed, 01 Jul 2015 02:36:32 +0000 http://www.kitguru.net/?p=256810 GlobalFoundries this week said that the U.S. authorities had approved its acquisition of IBM’s microelectronics business unit. From now on, GlobalFoundries will make various important semiconductors for the U.S. military and government agencies. The Committee on Foreign Investment in the United States (CFIUS) has reviewed the proposed transaction between IBM and GlobalFoundries and concluded in favour …

The post U.S. clears acquisition of IBM’s chip unit by GlobalFoundries first appeared on KitGuru.]]>
GlobalFoundries this week said that the U.S. authorities had approved its acquisition of IBM’s microelectronics business unit. From now on, GlobalFoundries will make various important semiconductors for the U.S. military and government agencies.

The Committee on Foreign Investment in the United States (CFIUS) has reviewed the proposed transaction between IBM and GlobalFoundries and concluded in favour of the deal, which means that nothing stops GlobalFoundries from taking over microelectronics operations from IBM. The transaction is expected to close in the near future.

Under the terms of the agreement between IBM and GlobalFoundries, the former will get and operate existing IBM fabs in East Fishkill, New York, and Essex Junction, Vermont. IBM will pay GlobalFoundries $1.5 billion over the next three years in order to take the fabs and respect existing contracts. GlobalFoundries will also get intellectual property, world-class semiconductor specialists and technologies related to IBM Microelectronics. In addition, GlobalFoundries will become IBM’s exclusive server processor maker for 22nm, 14nm and 10nm chips for the next 10 years.

ibm_gf_announcement

The 200mm fab in Essex Junction, Vermont, produces certain high-end radar and other components for the U.S. government using SiGe and SOI processes, according to a media reports. Typically, the U.S. authorities demand that fabs producing such chips belong to the U.S. companies, but it appears that the CFIUS did not block the transaction.

“This acquisition solidifies GlobalFoundries’ leadership position in semiconductor technology development and manufacturing,” said Sanjay Jha, CEO of GlobalFoundries. “We can now offer our customers a broader range of differentiated leading-edge 3D transistor and RF technologies, and we will also improve our design ecosystem to accelerate time-to-revenue for our customers. This acquisition further strengthens advanced manufacturing in the United States, and builds on established relationships in New York and Vermont.”

IBM needed to get rid of its microelectronics business because the latter had generated a lot of losses for the company.

Discuss on our Facebook page, HERE.

KitGuru Says: While GlobalFoundries will naturally benefit from IBM’s intellectual property and new customers, it remains to be seen whether the company will manage to operate IBM’s outdated fabs profitably.

The post U.S. clears acquisition of IBM’s chip unit by GlobalFoundries first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/u-s-government-clears-acquisition-of-ibms-chip-unit-by-globalfoundries/feed/ 1
Intel delays ramp of 10nm mass production due to poor yields – report https://www.kitguru.net/components/anton-shilov/intel-delays-ramp-of-10nm-mass-production-due-to-poor-yields-report/ https://www.kitguru.net/components/anton-shilov/intel-delays-ramp-of-10nm-mass-production-due-to-poor-yields-report/#comments Thu, 25 Jun 2015 11:08:21 +0000 http://www.kitguru.net/?p=256084 Intel Corp. has again delayed installation of equipment necessary to produce semiconductors in high volume using 10nm process technology. It is believed that Intel experiences yield ramp issues, just like in case of its 14nm manufacturing tech, which is why it does not make sense for the company to buy and install new equipment just …

The post Intel delays ramp of 10nm mass production due to poor yields – report first appeared on KitGuru.]]>
Intel Corp. has again delayed installation of equipment necessary to produce semiconductors in high volume using 10nm process technology. It is believed that Intel experiences yield ramp issues, just like in case of its 14nm manufacturing tech, which is why it does not make sense for the company to buy and install new equipment just now.

In April, it was reported that Intel put off purchase of tools to start mass production of chips at fab 28 in Kiryat Gat, Israel, from March to December. The acquisition of the equipment is a part of a $6 billion upgrade plan for the company’s semiconductor fabrication facility, which is tremendously important for Intel. SemiWiki reports that recently the world’s largest chipmaker decided to further delay purchase of the equipment to 2016 and hence postpone volume production of chips using its 10nm fabrication process.

intel_wafer_300mm_semiconductor

Intel’s competitors on the market of semiconductors – Samsung Electronics and Taiwan Semiconductor Manufacturing Co. – plan to start high-volume production of 10nm products in 2015. Potentially, they may leave Intel behind with the new node, which is a bad news for Intel, which has been the technological leader of the semiconductor market for decades.

If Samsung and TSMC manage to start high-volume production of 10nm chips earlier than Intel and those processors can threat central processing units from Intel, then the latter is in trouble. At present Intel has to sell its tablet system-on-chips at a loss in order to sustain or gain market share against partners of ARM Holdings. If designers of ARM-based chips gain technological advantage over Intel and that advantage translates into performance and power consumption benefits, then Intel is in trouble since notebook designers may prefer ARM-based SoCs to Intel’s CPUs.

One thing that should be kept in mind is that Intel’s manufacturing processes are usually superior when compared to fabrication technologies by other makers of semiconductors. Newer manufacturing technologies from Intel have always featured smaller transistor fin pitch, transistor gate pitch as well as interconnection pitch than predecessors in a bid to maximize transistor density. By contrast, Intel’s rivals make trade-offs that reduce transistor density and increase per-transistor costs, which makes it harder for their customers to use those technologies. Moreover, some experts believe that Intel may introduce a new transistor structure at 10nm, improving performance and reducing power consumption of its chips. By contrast, Samsung and TSMC will continue to use FinFET transistors at 10nm. That said, it is not cast in stone that Samsung’s and TSMC’s 10nm technologies will be dramatically better than Intel’s 14nm FinFET.

intel_semiconductor_reduction_cost_chip_manufacturing

Back in May, the world’s largest maker of microprocessors promised to disclose details about its 10nm plans later this year.

Intel did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: Given the recent unofficial information about the delay of 10nm “Cannonlake” and introduction of 14nm “Kaby Lake” processor in 2016, it is obvious that the company is slowing down its pace to 10nm. The only question is whether Intel is trying to reduce its spending to keep financial analysts happy, has technological problems it cannot resolve quickly or is just trying to develop absolutely the best 10nm process not only for its microprocessors and SoCs, but also for its foundry customers, such as Altera.

The post Intel delays ramp of 10nm mass production due to poor yields – report first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/intel-delays-ramp-of-10nm-mass-production-due-to-poor-yields-report/feed/ 2
Nvidia and Samsung still cannot finalize chip manufacturing deal https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/ https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/#comments Sat, 20 Jun 2015 13:23:37 +0000 http://www.kitguru.net/?p=255534 Earlier this year Nvidia Corp. officially named Samsung Electronics its manufacturing partner. However, as it appears, the companies still have not signed any actual deals because negotiations are proceeding with difficulties. The consequence of prolonged negotiations could result in later-than-expected release of Samsung-made Nvidia chips. Nvidia wants Samsung Electronics to guarantee certain level of yield …

The post Nvidia and Samsung still cannot finalize chip manufacturing deal first appeared on KitGuru.]]>
Earlier this year Nvidia Corp. officially named Samsung Electronics its manufacturing partner. However, as it appears, the companies still have not signed any actual deals because negotiations are proceeding with difficulties. The consequence of prolonged negotiations could result in later-than-expected release of Samsung-made Nvidia chips.

Nvidia wants Samsung Electronics to guarantee certain level of yield rate at 14nm for its graphics processing units, reports BusinessKorea. The yield rate is as a condition of a provisional contract with Samsung Electronics, the web-site emphasizes. The negotiations are proceeding with difficulties because Samsung’s 14nm low-power plus (14LPP) fabrication process is still not really mature.

nvidia_tegra_x1_cut

Theoretically, engineering and business decision operations are isolated. Nvidia’s chip designers are working on chips to be made by Samsung, whereas other people are negotiating over pricing. If talks take too much time, then the start of volume production may be delayed, but since Nvidia will need Samsung’s production services only in 2016, it still has weeks or even months to negotiate a deal.

At present, Samsung Foundry is the only contract maker of semiconductors that produces chips using 14nm FinFET process technology in high volume. Taiwan Semiconductor Manufacturing Co. is expected to start mass production of chips using its 16nm FinFET process this month. Intel Corp.’s foundry division offers 14nm FinFET services to select companies and, based on rumours, not everyone is happy with the technology.

According to unofficial information, Nvidia recently taped out its first code-named GP100 graphics processing unit, which belongs to the “Pascal” family of products. The chip is set to be produced using TSMC’s 16nm FinFET+ (CLN16FF+) fabrication process.

samsung_semiconductor_foundry_chip_production_4

One analyst believes that Nvidia plans to use its contract with Samsung’s not only to get access to leading-edge fabrication processes and to ensure high-volume supply of chips, but also in order to cut-down its costs. In fact, a number of fabless semiconductor designers are believed to be using contracts with Samsung as a leverage in their negotiations with TSMC over pricing.

“We believe that Nvidia has a second source for foundry wafers in Samsung, outside of TSMC,” said Doug Freedman, an analyst with RBC Capital Markets, in a note to clients. “While it may be a small part of the wafer supply today, it should create a tailwind for [gross margin percentage] going forward as we believe that non-TSMC wafers can be purchased at as much as 10% below present cost levels.”

Nvidia and Samsung did not comment on the news-story.

Discuss on our Facebook page, HERE.

KitGuru Says: In fact, chip designers and foundries are always negotiating over yields and costs, which is a normal process. No actual conclusions can be made because of prolonged talks.

The post Nvidia and Samsung still cannot finalize chip manufacturing deal first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/nvidia-and-samsung-still-cannot-finalize-chip-manufacturing-deal/feed/ 20
TSMC to begin building 10nm pilot line in June https://www.kitguru.net/components/anton-shilov/tsmc-to-begin-building-10nm-pilot-line-in-june/ https://www.kitguru.net/components/anton-shilov/tsmc-to-begin-building-10nm-pilot-line-in-june/#respond Fri, 29 May 2015 01:57:00 +0000 http://www.kitguru.net/?p=251604 Taiwan Semiconductor Manufacturing Co. has announced that it will start to install equipment needed to produce 10nm chips in one of its semiconductor fabrication facilities next month. The company hopes to start risk production of 10nm chips late this year. TSMC will start to construct its 10nm pilot line at its fab 15 phase 5 …

The post TSMC to begin building 10nm pilot line in June first appeared on KitGuru.]]>
Taiwan Semiconductor Manufacturing Co. has announced that it will start to install equipment needed to produce 10nm chips in one of its semiconductor fabrication facilities next month. The company hopes to start risk production of 10nm chips late this year.

TSMC will start to construct its 10nm pilot line at its fab 15 phase 5 (which is located in Hsinchu Science Park, Taiwan) in June, 2015, reports UDN web-site. It will take several months to install the equipment, and then the line will become operational. It is highly likely that the company will start risk production of 10nm chips already in the fourth quarter of 2015. If TSMC delivers the first 10nm chip samples to its customers in calendar 2015, it will be in position to start commercial production of 10nm semiconductors in late 2016.

Earlier this year TSMC revealed the first details regarding its 10nm fabrication technology and demonstrated a 300mm wafer processed using its 10nm tech containing SRAM memory ICs [integrated circuits]. TSMC’s 10nm manufacturing process will have 110 per cent higher logic density compared to the company’s 16nm FinFET+ (CLN16FF+) process tech, 20 per cent higher clock-rate potential at the same power and 40 per cent lower power consumption at the same frequency.

semiconductor_wafer_cadence_4645_TSMC-Fab3inr008_78-sized

Samsung Electronics last week demonstrated one of the first 300mm wafers processed using its 10nm manufacturing technology. The company officially revealed plans to start high-volume production of semiconductors using 10nm fabrication process by the end of 2016.

Intel Corp. recently said that it would reveal its 10nm roadmap later in 2015. It is expected that the world’s largest maker of microprocessors will begin to produce commercial 10nm chips in 2016.

GlobalFoundries is currently developing its 10nm process technology.

Discuss on our Facebook page, HERE.

KitGuru Says: TSMC is slightly behind Intel (who already has 10nm pilot line at D1X fab in Hillsboro, Oregon) with its 10nm schedule, but this does not seem to be a problem for the world’s largest contract maker of semiconductors. Everything seems to be on track for TSMC and the first commercial 10nm chips produced by the company will likely hit the market in early 2017.

The post TSMC to begin building 10nm pilot line in June first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/tsmc-to-begin-building-10nm-pilot-line-in-june/feed/ 0
Intel: We will reveal schedule for 10nm chips later this year https://www.kitguru.net/components/cpu/anton-shilov/intel-we-will-reveal-schedule-for-10nm-chips-later-this-year/ https://www.kitguru.net/components/cpu/anton-shilov/intel-we-will-reveal-schedule-for-10nm-chips-later-this-year/#respond Fri, 22 May 2015 22:57:14 +0000 http://www.kitguru.net/?p=250811 Intel Corp. has been particularly tight-lipped about its roadmap concerning microprocessors to be made using 10nm fabrication process. However, on Friday the company said that development of the 10nm technology is progressing well and it will share more information about products it plans to produce using the process later this year. “The 10nm development is progressing very …

The post Intel: We will reveal schedule for 10nm chips later this year first appeared on KitGuru.]]>
Intel Corp. has been particularly tight-lipped about its roadmap concerning microprocessors to be made using 10nm fabrication process. However, on Friday the company said that development of the 10nm technology is progressing well and it will share more information about products it plans to produce using the process later this year.

“The 10nm development is progressing very well,” said Renee James, president of Intel, during a Q&A session with stockholders at the company’s annual meeting. “You will start to see start-up cost in the second half of the year on 10nm. We will talk about the timing of [10nm] later this year – the early part of next year about when that will happen.

Intel has not disclosed almost anything regarding its 10nm manufacturing process so far. The only thing that is known about Intel's 10nm fabrication tech is that the chip giant wants to further increase transistor density and reduce per transistor cost, something that many contract makers of semiconductors have failed to do with their 14nm/16nm FinFET processes. Unfortunately, the most recent reports about Intel’s 10nm chips and production of microprocessors using the node contradicted each other.

intel_semiconductor_intel_custom_foundry_alley-with-worker-in-fab-16x9

In April, it was reported that Intel postponed purchase of equipment needed to start mass production of chips at fab 28 in Kiryat Gat, Israel, from March to December. The purchase of the equipment is a part of a $6 billion upgrade plan for fab 28, which is crucial for Intel. The delay could potentially set back volume production of chips made using 10nm fabrication process.

In May, a leaked slide from Intel’s roadmap revealed the company’s plans to start commercial shipments of its “Cannonlake” processors for mobile devices in mid-2016, which means that production should begin at least three months before commercial shipments (i.e., early in 2016).

intel_semiconductor_reduction_cost_chip_manufacturing

At present Intel Intel is setting up a pilot line for 10nm production in D1X development fab in Hillsboro, Oregon. The line will be operational shortly from now and will allow Intel to learn maximum amount of information about its new process technology and designs (i.e., “Cannonlake”, “Knights Hill”, etc.). Once Intel learns enough about its tech and products, it can start to transfer the technology to different fabs (i.e., fab 28 in case of 10nm), a process called Copy Exactly. The “Copy Exactly” methodology requires matching equipment configuration, chemical purity and a lot of other things. Intel does not start the CE process before manufacturing technology hits multiple targets, such as yield, performance and other. The parameters at all factories are then monitored and adjusted on-the-fly in a bid to improve yields, performance characteristics, etc. simultaneously at all Intel's fabs across the globe.

Process technology development and finalization take a long time, just like the Copy Exactly process. If Intel moves in new equipment to fab 28 only in late 2015, it will not be able to mass produce 10nm chips in early Q2 2016.

intel_wafer_300mm_semiconductor

It is highly likely that Intel will reveal more details about its 10nm process technology at plans at the upcoming Intel Developer Forum trade-show in mid-August.

Discuss on our Facebook page, HERE.

KitGuru Says: If Intel is ready to talk about its 10nm manufacturing technology, it generally means that the chip giant is satisfied with its fabrication process and is confident that products made using it will hit all necessary parameters on time.

The post Intel: We will reveal schedule for 10nm chips later this year first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/intel-we-will-reveal-schedule-for-10nm-chips-later-this-year/feed/ 0
Nvidia: TSMC is our primary partner for 10nm and 16nm chips https://www.kitguru.net/components/anton-shilov/nvidia-tsmc-is-our-primary-partner-for-10nm-and-16nm-chips/ https://www.kitguru.net/components/anton-shilov/nvidia-tsmc-is-our-primary-partner-for-10nm-and-16nm-chips/#comments Fri, 08 May 2015 11:14:25 +0000 http://www.kitguru.net/?p=248571 Being one of the largest fabless designers of semiconductors in the world, Nvidia Corp. is a very special customer of Taiwan Semiconductor Manufacturing Co. For more than a decade, TSMC has been the primary producer of Nvidia graphics processing units and despite of Nvidia’s recent engagement with Samsung Foundry, TSMC will remain the company’s key …

The post Nvidia: TSMC is our primary partner for 10nm and 16nm chips first appeared on KitGuru.]]>
Being one of the largest fabless designers of semiconductors in the world, Nvidia Corp. is a very special customer of Taiwan Semiconductor Manufacturing Co. For more than a decade, TSMC has been the primary producer of Nvidia graphics processing units and despite of Nvidia’s recent engagement with Samsung Foundry, TSMC will remain the company’s key manufacturing partner.

“We are constantly evaluating foundry suppliers,” said Jen-Hsun Huang, chief executive officer of Nvidia, during the company’s quarterly conference call with investors and financial analysts. “We largely purchase from TSMC, the vast majority of our wafers we buy from TSMC. We are in 20nm, we are expecting to ramp 16nm. We are deeply engaged with TSMC for many, many nodes to come, including 10nm.”

semiconductor_wafer_cadence_4645_TSMC-Fab3inr008_78-sized

While TSMC remains the world’s largest contract maker of microelectronics, the company is behind Samsung Electronics with its 16nm manufacturing process that employs fin-shaped field-effect transistors (FinFET). Samsung is already producing chips using its 14nm FinFET (14LPE) fabrication technology in high volume, whereas TSMC plans to start making 16nm FinFET chips in Q3 with meaningful revenue contribution starting in the Q4 2015.

At present it is too late for Nvidia to jump ships from 16nm to 14nm (i.e., from TSMC to Samsung). In a bid to get a 14nm FinFET commercial chip from Samsung Electronics in late Q4 2015, Nvidia would have needed to form a design implementation team of around 100-200 engineers a couple of years ago and start to design its ASIC [application specific integrated circuit] in 2013 at the latest. Nvidia would tape out the chip in late 2014 or early 2015, nine to twelve months before the start of mass production. It is unknown when exactly Nvidia decided to use Samsung as a foundry, hence, the company’s 14nm FinFET roadmap and plans are completely unclear.

Officially, Nvidia claims that it does not necessarily need a bleeding-edge manufacturing process to deliver great products.

“There are just so many ways for us to deliver energy efficiency and performance,” said Mr. Huang. “I would not get too obsessed about the process technology all by itself.”.

tsmc_semiconductor_fab14_production

Nonetheless, process technologies are crucial for Nvidia. If Intel or AMD release their new products two or more quarters ahead of Nvidia, the company’s revenue and market share will decrease. Therefore, it makes a great sense for Nvidia to have two foundry partners. However, since it takes a long time to design a modern chip, decisions regarding manufacturing and process technologies have to be made years before such IC [integrated circuit] hits the market. At present Nvidia seems to be downplaying its relationship with Samsung, but no one knows what may happen in the future.

“But we are always looking at new foundry suppliers, and competition keeps everybody sharp,” said the CEO of Nvidia. “But for all intents and purposes, TSMC is our primary partner.”

Discuss on our Facebook page, HERE.

KitGuru Says: “Looking” at new foundry partners and listing one as a supplier in your filing with the Securities and Exchange Commission are two completely different things. In general, it seems that Nvidia is about to start using Samsung as a foundry partner, but it is completely unclear what exactly Samsung will produce for Nvidia. Perhaps, after learning about TSMC’s 20nm focus in late-2011 – early-2012, the company decided to diversify its wafer suppliers. If this is the case, then Nvidia’s next-gen “Pascal” GPUs as well as Tegra system-on-chips will be made by both Samsung and TSMC. Unfortunately, there are not a lot of facts to prove that theory.

The post Nvidia: TSMC is our primary partner for 10nm and 16nm chips first appeared on KitGuru.]]>
https://www.kitguru.net/components/anton-shilov/nvidia-tsmc-is-our-primary-partner-for-10nm-and-16nm-chips/feed/ 1
GlobalFoundries is working on 10nm process technology internally https://www.kitguru.net/components/cpu/anton-shilov/globalfoundries-is-working-on-10nm-process-technology-internally/ https://www.kitguru.net/components/cpu/anton-shilov/globalfoundries-is-working-on-10nm-process-technology-internally/#comments Sat, 02 May 2015 11:35:39 +0000 http://www.kitguru.net/?p=247833 Just like other contract makers of semiconductors, GlobalFoundries is already developing its next-generation 10nm process technology. However, despite of the fact that GlobalFoundries is about to acquire IBM’s semiconductor manufacturing business and the company’s close ties to Samsung Electronics, the 10nm fabrication tech is developed completely internally at the moment. GlobalFoundries was late with its …

The post GlobalFoundries is working on 10nm process technology internally first appeared on KitGuru.]]>
Just like other contract makers of semiconductors, GlobalFoundries is already developing its next-generation 10nm process technology. However, despite of the fact that GlobalFoundries is about to acquire IBM’s semiconductor manufacturing business and the company’s close ties to Samsung Electronics, the 10nm fabrication tech is developed completely internally at the moment.

GlobalFoundries was late with its 28nm process technology and development of its 14nm-XM manufacturing tech took longer than expected. In a bid to offer its customers a leading-edge technology in 2015 – 2016 timeframe, GlobalFoundries licensed Samsung Electronics 14nm LPE [low power early] and LPP [low power plus] processes last year. At present, the company is ramping up production of one 14nm chip and it is expected to hit high volumes later this year.

In October, 2014, IBM announced a definitive agreement to divest its microelectronics business and manufacturing operations to GlobalFoundries. IBM’s semiconductor production unit employs a lot of world-class engineers, who could take part in creation of GF’s 10nm process tech, at least on the late stages. However, at this time 10nm-related research and development activities are conducted completely internally, said Shubhankar Basu, product line manager for leading edge technologies at GlobalFoundries, in an interview with SemiWiki. He did not reveal when did the company start its 10nm development, but it is likely that initial R&D operations began in late 2013 – early 2014.

globalfoundries_cleanroom_fab8
Since the deal to acquire IBM’s microelectronics business has not yet closed, there are limits, beyond which the companies cannot collaborate. As a result, IBM’s engineers are not currently involved in development of GlobalFoundries 10nm fabrication process.

Modern semiconductor process technologies cost over a billion of dollars to develop and companies tend to use all resources available to make their manufacturing processes better. As a result, it is a pity that GlobalFoundries could not design its 10nm process using IBM’s engineers and intellectual property. Potentially, this means that the company might need to redesign certain parts of the process to add technologies developed by IBM. Alternatively, GlobalFoundries could offer two versions of its 10nm technology to clients: one designed fully in-house and another developed in collaboration with IBM engineers.

Discuss on our Facebook page, HERE.

KitGuru Says: Given the fact that TSMC seems to be very aggressive with 10nm, it made a lot of sense for GlobalFoundries to start designing 10nm as early as possible.

The post GlobalFoundries is working on 10nm process technology internally first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/globalfoundries-is-working-on-10nm-process-technology-internally/feed/ 1
ASML sells 15 EUV lithography scanners, possibly, to Intel https://www.kitguru.net/components/graphic-cards/anton-shilov/asml-sells-15-euv-lithography-scanners-possibly-to-intel/ https://www.kitguru.net/components/graphic-cards/anton-shilov/asml-sells-15-euv-lithography-scanners-possibly-to-intel/#comments Thu, 23 Apr 2015 22:59:44 +0000 http://www.kitguru.net/?p=246460 ASML Holding NV, one of the world’s leading makers of semiconductor manufacturing equipment, this week said that it had signed an agreement with one of its major U.S.-based customers to deliver at least 15 ASML extreme ultraviolet (EUV) EUV lithography systems. Given the scale of the order it is highly likely that ASML’s customer is Intel …

The post ASML sells 15 EUV lithography scanners, possibly, to Intel first appeared on KitGuru.]]>
ASML Holding NV, one of the world’s leading makers of semiconductor manufacturing equipment, this week said that it had signed an agreement with one of its major U.S.-based customers to deliver at least 15 ASML extreme ultraviolet (EUV) EUV lithography systems. Given the scale of the order it is highly likely that ASML’s customer is Intel Corp.

ASML indicated that the systems will be used to support increased development activity and pilot production of future-generation manufacturing processes. In particular, the owner of the equipment plans to use EUV lithography for multiple processing steps in “future process technology nodes”, but ASML did not reveal which ones. At present it is believed that Intel will use EUV for making chips using 7nm and 5nm process technologies.

The first two NXE:3350B EUV systems are expected to be delivered before the end of 2015. The new systems will be in addition to the existing EUV development systems already at the customer.

So far ASML has shipped less than ten NXE:3300B EUV test systems to clients. Last year the company began to upgrade light source inside test systems to boost their productivity to 500 wafers per 24 hours. For high-volume manufacturing a wafer scanner should process 1500+ wafers per 24 hours. Previously it was expected that the semiconductor industry would use EUV lithography starting from 2015-2016 and 10nm process technology. Since there are no scanners with proper characteristics today, EUV lithography will only be adopted sometimes in 2017 or later.

asml_twinscan

Extreme ultraviolet lithography is the leading new patterning technology that allows semiconductor manufacturers to continue scaling down feature sizes of chips. Thanks to 13.5nm wavelength of EUV lasers, it will be possible to “draw” finer elements of chips without using tricky multiple-patterning techniques and implementing additional metal layers that complicate production process and make it more expensive. EUV also promises to bring significant benefits in terms of yield and cycle time.

“EUV is now approaching volume introduction. Long-term EUV planning and EUV ecosystem preparation is greatly supported by this commitment to EUV, kick-starting a new round of innovation in the semiconductor industry,” said Peter Wennink, chief executive officer of ASML. “The commitment extends the planning horizon and increases the confidence in EUV.”

Financial terms were not disclosed, but is the deal is worth hundreds of millions of dollars.

Discuss on our Facebook page, HERE.

KitGuru Says: The order of 15 systems proves that EUV lithography will be used for mass production of chips. The question is when.

The post ASML sells 15 EUV lithography scanners, possibly, to Intel first appeared on KitGuru.]]>
https://www.kitguru.net/components/graphic-cards/anton-shilov/asml-sells-15-euv-lithography-scanners-possibly-to-intel/feed/ 1
Intel: 10nm and 7nm technologies have perfect economic feasibility https://www.kitguru.net/components/cpu/anton-shilov/intel-10nm-and-7nm-technologies-have-perfect-economic-feasibility/ https://www.kitguru.net/components/cpu/anton-shilov/intel-10nm-and-7nm-technologies-have-perfect-economic-feasibility/#comments Wed, 15 Apr 2015 13:02:03 +0000 http://www.kitguru.net/?p=245233 It is not a secret that transitions to newer chip manufacturing processes are getting more difficult from financial capital standpoint since not only research and development costs more than before, but also production equipment is getting more expensive. In a bid to keep their prices at current levels, semiconductor companies need to increase transistor densities of …

The post Intel: 10nm and 7nm technologies have perfect economic feasibility first appeared on KitGuru.]]>
It is not a secret that transitions to newer chip manufacturing processes are getting more difficult from financial capital standpoint since not only research and development costs more than before, but also production equipment is getting more expensive. In a bid to keep their prices at current levels, semiconductor companies need to increase transistor densities of their process technologies at a pace faster than Moore’s law. Intel believes that it can do this at 7nm and 10nm.

wdc_semiconductor_wafer

According to some estimates, it costs $1.3 – $1.5 billion to create a new manufacturing process from scratch nowadays, which involves a lot of fundamental research as well as development of new materials. In addition, large makers of semiconductors sometimes also participate in development of production equipment. Once fabrication technology is developed, manufacturers need to equip their fab(s) with new tools or even build new a new fab. As a result, it requires tremendous amount of capital to start making new chips using a new process technology.

“Capital intensity is going to go up as measured by capital cost per square inch of silicon,” said Stacy Smith, chief financial officer of Intel, during the company’s quarterly conference call with investors and financial analysts.

intel_semiconductor_reduction_cost_chip_manufacturing

That capital cost is a headache for all makers of chips because if it is too high, they either need to lower their margins or increase their prices. To offset increases of capital costs per square millimeter of a chip, makers of semiconductors need to increase transistor densitires, which is not an easy task due to leakages and other effects. However, Intel claims that it has found ways to increase those densities going forward, which will make its 7nm and 10nm process technologies economically feasible.

intel_semiconductor_reduction_cost_chip_manufacturing_by_wafer_starts

“We believe that through 14nm, 10nm and with some insight all the way down the 7nm we can offset that increase in capital cost per square inch of silicon by improving our density,” said Mr. Smith. “So we can keep the cost per transistor coming down at the historical curve.”

intel_semiconductor_reduction_cost_chip_manufacturing_by_die_size

Increasing transistor density helps Intel to reduce die sizes of its chips without decreasing their performance or feature-set. For example, Intel's dual-core “Broadwell” processor is 37 per cent smaller than comparable “Haswell” chip, but its feature sizes are 33 per cent smaller, which is a good example how transistor density increases from generation to generation. As a result, increase of transistor density is a crucially important thing for the company in the future.

“We believe [that on] the 10nm [node] the capital per wafer start does go up, but it goes up less than the density does,” said Brian Krzanich, chief executive officer of Intel. He added that the company’s 10nm unit costs and per-transistor costs will offset increased capital requirements.

intel_semiconductor_reduction_cost_chip_manufacturing_haswell_vs_broadwell

Discuss on our Facebook page, HERE.

KitGuru Says: Keeping in mind that Intel controls architecture, design, technology and manufacturing aspects of its business, the company has a lot of flexibility. In general, Intel can make almost any reasonably good manufacturing process economically feasible for itself. Still, the chip giant has to solve numerous fundamental technology-related challenges, such as materials, leakage and so on.

The post Intel: 10nm and 7nm technologies have perfect economic feasibility first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/intel-10nm-and-7nm-technologies-have-perfect-economic-feasibility/feed/ 3
Intel is quietly delaying 10nm production – report https://www.kitguru.net/components/cpu/anton-shilov/intel-is-quietly-delaying-10nm-production-report/ https://www.kitguru.net/components/cpu/anton-shilov/intel-is-quietly-delaying-10nm-production-report/#comments Tue, 14 Apr 2015 01:01:22 +0000 http://www.kitguru.net/?p=244924 Intel Corp. delayed mass production of 14nm chips by nearly a year because of insufficient yields. According to a media report, something similar may happen to its 10nm manufacturing technology. Intel is setting up a small pilot line for 10nm production in D1X development fab in Hillsboro, Oregon, reports SemiconductorEngineering. Volume production of chips using …

The post Intel is quietly delaying 10nm production – report first appeared on KitGuru.]]>
Intel Corp. delayed mass production of 14nm chips by nearly a year because of insufficient yields. According to a media report, something similar may happen to its 10nm manufacturing technology.

Intel is setting up a small pilot line for 10nm production in D1X development fab in Hillsboro, Oregon, reports SemiconductorEngineering. Volume production of chips using 10nm process technology is expected to start at fab 28 in Kiryat Gat, Israel. As a part of its plans to spend $6 billion on fab 28 upgrades, Intel was expected to make fab tool purchases for high-volume manufacturing at 10nm in March. However, it is now reported that those acquisitions will not happen until December of this year, which could potentially delay volume production of chips using 10nm fabrication process.

intel_ireland_semiconductor_chip_fab_300mm_wafer_2

Officially, Intel does not disclose exact plans regarding 10nm manufacturing technology, but it is highly likely that the first products made using the tech will be available in 2017.

“We have not disclosed a schedule for our 10nm process and we won’t engage in speculation about it.”

Previously it was expected that Intel will roll-out its 10nm chips in early 2017. But if the company significantly delays mass production, actual products will emerge only in late 2017. Intel will use 10nm process tech to make its code-named “Cannonlake” processors.

Discuss on our Facebook page, HERE.

KitGuru Says: It is not surprising that transitions to newer process technologies are getting harder for manufacturers. What remains to be seen is whether Intel will drop its “tick – tock” strategy and will use one process tech to make more than two generations of its chips.

The post Intel is quietly delaying 10nm production – report first appeared on KitGuru.]]>
https://www.kitguru.net/components/cpu/anton-shilov/intel-is-quietly-delaying-10nm-production-report/feed/ 4