Home / Component / Mubadala: GlobalFoundries begins to ramp up production of 14nm chips

Mubadala: GlobalFoundries begins to ramp up production of 14nm chips

Mubadala Development Co., the Abu Dhabi-based investment and development company, said this week that GlobalFoundries, which is owned by Mubadala, has already begun to ramp up production of chips using 14nm fabrication process.

“GlobalFoundries announced a strategic collaboration with Samsung to deliver capacity at 14nm, one of the industry’s most advanced nodes, as Fab 8 in Malta, New York began ramping production for customers,” a statement by Mubadala reads.

globalfoundries_semiconductor_wafers_300mm

The 14LPE (low-power early) and the 14LPP (low-power plus) technologies were developed by Samsung Electronics and licensed to GlobalFoundries. The manufacturing processes use FinFET transistors, but rely on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology. While the two fabrication technologies do not significantly reduce costs of chips compared to the previous-generation node, they allow to boost performance of 20nm chips by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing their performance or complexity.

Back in December, 2014, GlobalFoundries announced that it would start mass production of chips using 14nm LPE (low-power early) manufacturing process in the first half of 2015. Shortly after it transpired that the company stopped installation of new equipment needed for manufacturing of chips using 14nm FinFET manufacturing technology and began to stockpile the tools at a warehouse nearby. The contract maker of semiconductors said that there was no delay of 14nm mass production and stockpiling of the equipment was a logistical decision. As it appears, GlobalFoundries was correct and at present it is ramping up production of certain products for undisclosed customers.

globalfoundries_semiconductor_wafer_300mm_foundry_1

It is unknown what kind of chips GlobalFoundries is ramping up at the moment. Among the first customers to adopt Samsung Foundry’s 14nm LPE are Apple and Samsung itself. Theoretically, GlabalFoundries' fab 8 could be ramping up production of Apple's A9 application processors.

GlobalFoundries itself yet has to confirm that it is ramping up production of chips using 14nm node.

Discuss on our Facebook page, HERE.

KitGuru Says: Looks like not only Samsung Foundry, but also GlobalFoundries has managed to beat TSMC to the market with a FinFET process technology. Still keeping in mind TSMC’s vast production capacities, this should not be a major problem for the company.

Become a Patron!

Check Also

Leo Says Ep. 76: Hands-on with Snapdragon X Elite and Snapdragon X Plus

Qualcomm has been gearing up for the launch of the Snapdragon Elite X processor for months now. Recently, KitGuru was invited to an event to preview to learn about the new Snapdragon X Plus, as well as get hands-on time with Snapdragon X-equipped laptops.