Home / Tag Archives: 14lpe

Tag Archives: 14lpe

GlobalFoundries: We started to tape-out chips using second-gen 14nm process technology

GlobalFoundries on Friday confirmed that the first products to be made using the company’s advanced 14nm LPP [low-power plus] manufacturing technology had been taped out. The contract maker of chips did not reveal any details, but indicated that prototype chips had demonstrated “excellent” performance and yields. One of the customers, …

Read More »

Chinese investors eye GlobalFoundries to get new process technologies

China's National Integrated Circuit Industry Investment Fund has reportedly approached GlobalFoundries about possible acquisition or cooperation. Chinese investors intend to take over GlobalFoundries in order to significantly improve Semiconductor Manufacturing International Corporation (SMIC) and make it one of the world’s largest contract makers of semiconductors. China-based Hua Capital Management, which …

Read More »

AMD: We have taped out our first FinFET products

Advanced Micro Devices said on Thursday that it had taped out its first products, which will be made using a FinFET process technology. While AMD does not reveal which products it had taped out, it is highly-likely that one of them is a highly-anticipated microprocessor based on “Zen” micro-architecture. “We …

Read More »

Nvidia and Samsung still cannot finalize chip manufacturing deal

Earlier this year Nvidia Corp. officially named Samsung Electronics its manufacturing partner. However, as it appears, the companies still have not signed any actual deals because negotiations are proceeding with difficulties. The consequence of prolonged negotiations could result in later-than-expected release of Samsung-made Nvidia chips. Nvidia wants Samsung Electronics to …

Read More »

Samsung to make 14nm graphics processors for Nvidia

Nvidia Corp. will use Samsung Electronics’ manufacturing capacities to produce graphics processing units, according to a media report. The move will help Nvidia to increase performance of its GPUs without boosting their power consumption. When Nvidia revealed earlier this year that it will use Samsung’s services to build its chips, …

Read More »

AMD: We are actively designing 14nm FinFET chips

Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing …

Read More »

GlobalFoundries: Customized and SOI process technologies gain importance

While non-standard fabrication processes are sometimes challenging and make it harder to re-use intellectual property across different product lineups, they are just what the doctor ordered when one needs to achieve certain goals. According to GlobalFoundries, the No. 2 contract maker of chips, many customized process technologies are gaining importance …

Read More »

GlobalFoundries to produce 14nm chips in the first half of 2015

GlobalFoundries, the world’s second largest contract maker of semiconductors, plans to start volume production of chips using 14nm fabrication process in the first half of 2015, a little later than Samsung Electronics, but, possibly, earlier than Taiwan Semiconductor Manufacturing Co. The company does not reveal who will be the first …

Read More »