Home / Component / APU / GlobalFoundries: We are producing 14nm chips for our customers, yields on-track

GlobalFoundries: We are producing 14nm chips for our customers, yields on-track

GlobalFoundries on Wednesday confirmed that it is had initiated high-volume production of chips for its customers using 14nm FinFET (14LPE) process technology. The company claims that yields of its 14nm semiconductors are comparable to yields at Samsung Foundry, the company that developed the 14LPE.

“Our 14nm ramp is right on track and our yields are on par with our partner Samsung,” said Jason Gorss, a spokesman for GlobalFoundries.

GlobalFoundries does not disclose how many wafers it can start to process per month using the 14nm LPE [low-power early] manufacturing technology. However, the company indicates that a significant portion of equipment needed for commercial production of chips using 14nm FinFET process has already been installed.

globalfoundries_semiconductor_wafers_300mm

Samsung Electronics began high-volume production of its 14nm Exynos system-on-chips for smartphones using 14LPE process technology in late 2014. The company uses the processors inside its Galaxy S6 smartphones, which indicates that yields are high-enough to make production commercially viable. If GlobalFoundries’ 14nm yields on par with Samsung, it means that they are generally rather good.

GlobalFoundries licensed Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) fabrication processes in 2014. The manufacturing technologies feature FinFET transistors and rely on back-end-of-line (BEOL) interconnects of a 20nm node. Usage of 14nm FinFET transistors allows to increase performance of chips [compared to 20nm chips] by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity. Later on GlobalFoundries will start to produce more advanced chips using 14nm LPP [low-power plus] fabrication process that is designed to enable additional performance amid moderate power consumption.

Discuss on our Facebook page, HERE.

KitGuru Says: It looks like the first batch of commercial 14nm chips has already left GlobalFoundries. Hence, if you bought a Samsung Galaxy S6 recently, the chances are that there is a GlobalFoundries-made chip inside it.

Become a Patron!

Check Also

Razer announces ‘Kishi Ultra’ USB-C controller with tablet support

Smartphones nowadays can do a whole bunch of stuff. From running console-quality titles like Genshin …