Home / Component / APU / GlobalFoundries: Our lead 14nm product is yielding in double digits

GlobalFoundries: Our lead 14nm product is yielding in double digits

GlobalFoundries on Tuesday clarified claims about its ramp up of 14nm chip production at fab 8 manufacturing  facility in Malta, New York. The world's second largest contract maker of semiconductors has taped out multiple 14nm designs and is tweaking its equipment using a lead product at the moment. The company is on track to start high-volume shipments of 14nm chips this year.

“Our 14nm FinFET technology is maturing and on schedule at our Fab 8 facility in Malta, New York,” said Jason Gorss, a spokesman for GlobalFoundries, in a statement. “The early version (14LPE) is qualified in our fab and our lead product is yielding in double digits. Since 2014, we have taped multiple products and testchips and are seeing rapid progress, in yield and maturity, for volume shipments in 2015.”

Last week Mubadala Development Co., an Abu Dhabi-based investment and development company, revealed that GlobalFoundries had begun ramping manufacturing of 14nm chips for customers. Mubadala, which owns GlobalFoundries, did not provide any details. Even though production is currently not in high volume, it is clear that GlobalFoundries ships certain chips to clients.

globalfoundries_semiconductor_wafers_300mm

Right now it is unknown which 14nm chips GlobalFounfries produces at present, but it is highly likely that the company makes Samsung Exynos 7420 application processors for its process tech partner. Another early partner of GlobalFoundries with its 14nm FinFET production could be Apple, which is expected to use Samsung's 14nm process tech to make its upcoming A9 system-on-chip.

GlobalFoundries licensed Samsung’s 14LPE (low-power early) and 14LPP (low-power plus) process technologies last year. The manufacturing processes use FinFET transistors and rely on back-end-of-line (BEOL) interconnects of 20nm manufacturing technology. Usage of 14nm FinFET transistors allows to boost performance of chips [compared to 20nm chips] by 20 per cent at the same power or cut power consumption by 35 per cent without decreasing performance or complexity.

Discuss on our Facebook page, HERE.

KitGuru Says: It is rather noteworthy that GlobalFondries has already taped out a number of 14nm chips. Apparently, GlobalFoundries has a number of clients interested in making chips using 14nm fabrication process. One of such companies is probably Samsung, but another one could be AMD.

Become a Patron!

Check Also

Razer announces ‘Kishi Ultra’ USB-C controller with tablet support

Smartphones nowadays can do a whole bunch of stuff. From running console-quality titles like Genshin …