Home / Tag Archives: semiconductor (page 3)

Tag Archives: semiconductor

TSMC: We will close the gap with Intel at 10nm!

Taiwan Semiconductor Manufacturing Co. believes that its 10nm fabrication process will not only be available around the same time when Intel Corp. starts to use its 10nm technology, but will also offer similar performance and density as Intel’s. In a bid to speed up time-to-market of their manufacturing processes featuring FinFET …

Read More »

Samsung: 10nm technology in development, 7nm will require new transistors

Kinam Kim, the president of Samsung Electronics’ semiconductor business, presented his view on the development of chip manufacturing technologies at the International Solid-State Circuits Conference 2015 this week. Samsung is already developing its next-generation 10nm FinFET fabrication process, but in order to continue scaling down structures of chips, new materials …

Read More »

Intel vows to ship 10nm chips in early 2017, retracts its promise

While Intel Corp. has confirmed development of 10nm fabrication process as well as appropriate microprocessors, the company has never revealed exactly when it plans to introduce chips that will succeed its next-generation code-named “Skylake” CPUs. A couple of weeks ago a representative for Intel finally said that its first 10nm …

Read More »

AMD: We are actively designing 14nm FinFET chips

Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing …

Read More »

TSMC to start volume production of 10nm chips in 2017

Taiwan Semiconductor Manufacturing Co. has delayed volume production of chips using 16nm FinFET manufacturing technologies by several quarters. As a result, it now has to reconsider plans regarding production of semiconductors using 10nm FinFET fabrication process. Previously it was expected that the company will produce 10nm chips in late 2016, …

Read More »

AMD could use GlobalFoundries’ SHP process technology for GPUs

Although Advanced Micro Devices wants to cease using special process technologies for its products in order to cut-down their manufacturing and development costs, it looks like next year the company will have to use GlobalFoundries’ 28nm super high-performance (SHP) technology for its Radeon graphics processors in order to ensure their …

Read More »

Toshiba and SK Hynix settle NAND flash-related legal dispute

Toshiba Corp. and SK Hynix have announced that they had reached a settlement agreement in connection with a civil lawsuit that the former initiated against the latter at the Tokyo District Court earlier this year. Toshiba accused SK Hynix of stealing intellectual property related to NAND flash memory. As a …

Read More »

GlobalFoundries: Customized and SOI process technologies gain importance

While non-standard fabrication processes are sometimes challenging and make it harder to re-use intellectual property across different product lineups, they are just what the doctor ordered when one needs to achieve certain goals. According to GlobalFoundries, the No. 2 contract maker of chips, many customized process technologies are gaining importance …

Read More »

GlobalFoundries to produce 14nm chips in the first half of 2015

GlobalFoundries, the world’s second largest contract maker of semiconductors, plans to start volume production of chips using 14nm fabrication process in the first half of 2015, a little later than Samsung Electronics, but, possibly, earlier than Taiwan Semiconductor Manufacturing Co. The company does not reveal who will be the first …

Read More »

Cypress and Spansion merge in $4 billion transaction

Cypress Semiconductor Corp. and Spansion on Monday signed a definitive agreement to merge in an all-stock, tax-free transaction valued at approximately $4 billion. The post-merger company will be a leading global provider of microcontrollers and specialized memories for embedded systems. Under the terms of the agreement, Spansion shareholders will receive …

Read More »

ASML readies equipment to produce 5nm chips

We do not know exactly when companies like Intel Corp., Samsung Electronics or Taiwan Semiconductor Manufacturing Co. make their first chips using 7nm, 5nm, 3nm or 2nm process technologies. But we do know what should happen before leading semiconductor producers manufacture their new chips using ultra-thin process technologies: ASML should sell …

Read More »

GlobalFoundries to acquire semiconductor business from IBM

IBM and GlobalFoundries on Monday said that they have reached a definitive agreement under which the latter will acquire semiconductor manufacturing business of the former. GlobalFoundries will get intellectual property, world-class technologists and technologies related to IBM Microelectronics. It will also become IBM's exclusive server processor maker for 22nm, 14nm …

Read More »

TSMC postpones mass production of 16nm chips to Q2 2015

Taiwan Semiconductor Manufacturing Co. on Thursday gave an update regarding the status of its 16nm fabrication processes. The company said that it is satisfied with the yield, but at the same time postponed the start of mass production using its 16nm manufacturing technology from early 2015 to the second or …

Read More »

Intel: We will lead the world to 10nm microprocessors

Intel Corp. said on Tuesday that it would be the first company to start volume production of chips using 10nm fabrication technology. The company has already demonstrated the first wafers processed using 10nm tech, now it revealed that it will gradually increase its investments in the forthcoming technology in the coming …

Read More »