Home / Tag Archives: 14nm FinFET (page 2)

Tag Archives: 14nm FinFET

AMD’s ‘Zen’ could sport Intel’s ‘Skylake’ features, new 512-bit FPU – rumours

Although microprocessors based on AMD’s next-generation high-performance micro-architecture code-named “Zen” are more than a year away, some details regarding the forthcoming chips and “Zen” in general are beginning to surface. The most recent rumours about the new architecture add some technical details and point to release schedule of the new …

Read More »

Nvidia: TSMC will remain a ‘very important’ foundry partner

After IBM failed to produce enough code-named “NV40” graphics processing units for Nvidia Corp. back in 2004, the latter decided to make Taiwan Semiconductor Manufacturing Co. its strategic manufacturing partner. Since then, virtually all of Nvidia GPUs have been produced by TSMC with one or two exceptions. Apparently, Nvidia wants …

Read More »

AMD: We are actively designing 14nm FinFET chips

Advanced Micro Devices on Tuesday confirmed for the first time that it is actively designing several chips that will be made using 14nm FinFET process technology. The announcement from AMD indicates that the company will manufacture its new chips either at GlobalFoundries or Samsung Electronics, not at Taiwan Semiconductor Manufacturing …

Read More »

TSMC to speed up development of 10nm process technology

In a bid to retain its leadership position on the market of contract semiconductor manufacturing, Taiwan Semiconductor Manufacturing Co. is reportedly accelerating development of its 10nm FinFET process technology. At present TSMC is the leading contract maker of chips produced using 28nm process technology. It is believed that the company makes considerably …

Read More »

GlobalFoundries and Samsung reportedly land orders from Apple

In a bid to better compete against Taiwan Semiconductor Manufacturing Co. Samsung Semiconductor and GlobalFoundries earlier this year agreed to unify one of their 14nm process technologies and offer their foundry services collaboratively. Apparently, the tactics has worked out and the two companies recently landed orders to make chips using the …

Read More »

GlobalFoundries and Samsung to jointly produce 14nm FinFET chips

GlobalFoundries and Samsung Semiconductor, two leading contract makers of chips, on Thursday signed a pact under which they will be able to jointly produce chips using 14nm FinFET fabrication process. The two foundries will “synchronise” not only their general jointly-developed process technologies, but peculiarities of their manufacturing processes that are …

Read More »